diff --git a/router/NthLsbDetector.vhdl b/arbiter/NthLsbDetector.vhdl similarity index 100% rename from router/NthLsbDetector.vhdl rename to arbiter/NthLsbDetector.vhdl diff --git a/router/arbiter.vhdl b/arbiter/arbiter.vhdl similarity index 99% rename from router/arbiter.vhdl rename to arbiter/arbiter.vhdl index 8e36a9b..7e6049a 100644 --- a/router/arbiter.vhdl +++ b/arbiter/arbiter.vhdl @@ -2,7 +2,7 @@ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.router_types.all; -use work.routing_functions.all; +use work.arbiter_functions.all; entity arbiter is generic( diff --git a/router/routing_functions.vhdl b/arbiter/arbiter_functions.vhdl similarity index 98% rename from router/routing_functions.vhdl rename to arbiter/arbiter_functions.vhdl index 9cf0379..29d4293 100644 --- a/router/routing_functions.vhdl +++ b/arbiter/arbiter_functions.vhdl @@ -4,7 +4,7 @@ use ieee.numeric_std.all; use work.router_types.all; -package routing_functions is +package arbiter_functions is function single_packet_rout_dir_det ( level : in integer; pack_dest : in t_pos_addr; @@ -21,7 +21,7 @@ package routing_functions is ) return std_logic_vector; end package; -package body routing_functions is +package body arbiter_functions is function single_packet_parent_rout_dir_det( level : in integer; pack_dest : in t_pos_addr; diff --git a/router/arbiter_unit.vhdl b/arbiter/arbiter_unit.vhdl similarity index 100% rename from router/arbiter_unit.vhdl rename to arbiter/arbiter_unit.vhdl diff --git a/router/parent_arbiter.vhdl b/arbiter/parent_arbiter.vhdl similarity index 99% rename from router/parent_arbiter.vhdl rename to arbiter/parent_arbiter.vhdl index f09d077..2a337fd 100644 --- a/router/parent_arbiter.vhdl +++ b/arbiter/parent_arbiter.vhdl @@ -2,7 +2,7 @@ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.router_types.all; -use work.routing_functions.all; +use work.arbiter_functions.all; entity parent_arbiter is generic(