From 9d47e04a0c195bc7166cd16cfe04ffe8cc97583a Mon Sep 17 00:00:00 2001 From: Retrocamara42 Date: Thu, 12 Jun 2025 15:14:03 -0500 Subject: [PATCH 1/3] fix: avai paths error fixed --- Readme.md | 3 +- router/arbiter.vhdl | 36 +- router/parent_arbiter.vhdl | 14 +- .../noc_tests/random_pe_to_pe_test/result.ref | 3872 +++++++++++++++-- .../random_pe_to_pe_test/simulation.log | 1137 ++++- .../random_pe_to_pe_test/stimuli.txt | 12 +- .../noc_tests/random_pe_to_pe_test/test.log | 2145 ++++++++- .../generate_test_files.py | 7 +- test/scripts/generate_test_files/result.ref | 3872 +++++++++++++++-- test/scripts/generate_test_files/stimuli.txt | 12 +- test/scripts/generate_test_files/utils.py | 2 - test/scripts/validate_results/result.ref | 3677 +++++++++++++++- test/scripts/validate_results/simulation.log | 1137 ++++- test/scripts/validate_results/test.log | 2145 ++++++++- .../validate_results/validate_results.py | 7 + 15 files changed, 17195 insertions(+), 883 deletions(-) diff --git a/Readme.md b/Readme.md index 6fc7d88..061a1a6 100644 --- a/Readme.md +++ b/Readme.md @@ -39,4 +39,5 @@ FIFO5 -> U -> Upstream ![alt text](./drawings/router.png) # TODO: delete line where header is compared to 1 -# TODO: do throttle (many same data points) testw \ No newline at end of file +# TODO: do throttle (many same data points) testw +# TODO: run simulation again, observing router L5 \ No newline at end of file diff --git a/router/arbiter.vhdl b/router/arbiter.vhdl index 6ba12ee..61c217d 100644 --- a/router/arbiter.vhdl +++ b/router/arbiter.vhdl @@ -50,28 +50,6 @@ begin end loop; end process; --- g_L5_ROUT_DIR_PROC: if level = 5 generate --- L5_get_rout_dir: process(rout_pos, packets) --- variable pack_dest : t_pos_addr; --- variable header : std_logic_vector(3 downto 0); --- begin --- for i in 0 to packets'length-1 loop --- header := packets(i)(63 downto 60); --- if header(0) = '1' then --- pack_dest.chip_x := packets(i)(59 downto 55); --- pack_dest.chip_y := packets(i)(54 downto 50); --- pack_dest.core_x := packets(i)(49 downto 45); --- pack_dest.core_y := packets(i)(44 downto 40); --- pack_dest.copy_x := packets(i)(39 downto 35); --- pack_dest.copy_y := packets(i)(34 downto 30); --- dirs(i) <= L5_single_packet_rout_dir_det(level, pack_dest, rout_pos); --- else --- dirs(i) <= (others => '0'); --- end if; --- end loop; --- end process; --- end generate; - rout_path_determination: process(packets, dirs, avai_paths) variable sum_dirs : integer; variable j : integer; @@ -139,8 +117,6 @@ begin ps_dirs(i) <= (others => '0'); else ps_dirs(i) <= dirs(i); - end if; - if avai_pos_sizes(j) > 0 then avai_pos_sizes(j) := avai_pos_sizes(j)-1; end if; -- 1 to 2 multicast @@ -150,18 +126,24 @@ begin ps_dirs(i) <= (others => '0'); else ps_dirs(i) <= dirs(i); + avai_pos_sizes(2) := avai_pos_sizes(2)-1; + avai_pos_sizes(4) := avai_pos_sizes(4)-1; end if; elsif dirs(i)(1) = '1' and dirs(i)(3) = '1' then if avai_pos_sizes(1) <= 0 or avai_pos_sizes(3) <= 0 then ps_dirs(i) <= (others => '0'); else ps_dirs(i) <= dirs(i); + avai_pos_sizes(1) := avai_pos_sizes(1)-1; + avai_pos_sizes(3) := avai_pos_sizes(3)-1; end if; elsif dirs(i)(3) = '1' and dirs(i)(4) = '1' then if avai_pos_sizes(3) <= 0 or avai_pos_sizes(4) <= 0 then ps_dirs(i) <= (others => '0'); else ps_dirs(i) <= dirs(i); + avai_pos_sizes(3) := avai_pos_sizes(3)-1; + avai_pos_sizes(4) := avai_pos_sizes(4)-1; end if; --elsif dirs(i)(1) = '1' and dirs(i)(2) = '1' then else @@ -169,6 +151,8 @@ begin ps_dirs(i) <= (others => '0'); else ps_dirs(i) <= dirs(i); + avai_pos_sizes(1) := avai_pos_sizes(1)-1; + avai_pos_sizes(2) := avai_pos_sizes(2)-1; end if; end if; -- 1 to 4 multicast @@ -178,6 +162,10 @@ begin ps_dirs(i) <= (others => '0'); else ps_dirs(i) <= dirs(i); + avai_pos_sizes(1) := avai_pos_sizes(1)-1; + avai_pos_sizes(2) := avai_pos_sizes(2)-1; + avai_pos_sizes(3) := avai_pos_sizes(3)-1; + avai_pos_sizes(4) := avai_pos_sizes(4)-1; end if; else ps_dirs(i) <= (others => '0'); diff --git a/router/parent_arbiter.vhdl b/router/parent_arbiter.vhdl index 0fb1ff5..a3689a5 100644 --- a/router/parent_arbiter.vhdl +++ b/router/parent_arbiter.vhdl @@ -140,8 +140,6 @@ begin ps_dirs(i) <= (others => '0'); else ps_dirs(i) <= dirs(i); - end if; - if avai_pos_sizes(j) > 0 then avai_pos_sizes(j) := avai_pos_sizes(j)-1; end if; -- 1 to 2 multicast @@ -151,18 +149,24 @@ begin ps_dirs(i) <= (others => '0'); else ps_dirs(i) <= dirs(i); + avai_pos_sizes(2) := avai_pos_sizes(2)-1; + avai_pos_sizes(4) := avai_pos_sizes(4)-1; end if; elsif dirs(i)(1) = '1' and dirs(i)(3) = '1' then if avai_pos_sizes(1) <= 0 or avai_pos_sizes(3) <= 0 then ps_dirs(i) <= (others => '0'); else ps_dirs(i) <= dirs(i); + avai_pos_sizes(1) := avai_pos_sizes(1)-1; + avai_pos_sizes(3) := avai_pos_sizes(3)-1; end if; elsif dirs(i)(3) = '1' and dirs(i)(4) = '1' then if avai_pos_sizes(3) <= 0 or avai_pos_sizes(4) <= 0 then ps_dirs(i) <= (others => '0'); else ps_dirs(i) <= dirs(i); + avai_pos_sizes(3) := avai_pos_sizes(3)-1; + avai_pos_sizes(4) := avai_pos_sizes(4)-1; end if; --elsif dirs(i)(1) = '1' and dirs(i)(2) = '1' then else @@ -170,6 +174,8 @@ begin ps_dirs(i) <= (others => '0'); else ps_dirs(i) <= dirs(i); + avai_pos_sizes(1) := avai_pos_sizes(1)-1; + avai_pos_sizes(2) := avai_pos_sizes(2)-1; end if; end if; -- 1 to 4 multicast @@ -179,6 +185,10 @@ begin ps_dirs(i) <= (others => '0'); else ps_dirs(i) <= dirs(i); + avai_pos_sizes(1) := avai_pos_sizes(1)-1; + avai_pos_sizes(2) := avai_pos_sizes(2)-1; + avai_pos_sizes(3) := avai_pos_sizes(3)-1; + avai_pos_sizes(4) := avai_pos_sizes(4)-1; end if; else ps_dirs(i) <= (others => '0'); diff --git a/test/input/noc_tests/random_pe_to_pe_test/result.ref b/test/input/noc_tests/random_pe_to_pe_test/result.ref index bd889b2..d5d7e9c 100644 --- a/test/input/noc_tests/random_pe_to_pe_test/result.ref +++ b/test/input/noc_tests/random_pe_to_pe_test/result.ref @@ -1,260 +1,3612 @@ -0 899 0001000000000001110111100100010000000000000000000000000000000001 -0 771 0001000000000001110111100100010000000000000000000000000000000001 -0 643 0001000000000001110111100100010000000000000000000000000000000001 -0 515 0001000000000001110111100100010000000000000000000000000000000001 -0 991 0001000000000010100010111100111111000000000000000000000000000001 -0 990 0001000000000010100010111100111111000000000000000000000000000001 -0 989 0001000000000010100010111100111111000000000000000000000000000001 -0 988 0001000000000010100010111100111111000000000000000000000000000001 -0 987 0001000000000010100010111100111111000000000000000000000000000001 -0 986 0001000000000010100010111100111111000000000000000000000000000001 -0 985 0001000000000010100010111100111111000000000000000000000000000001 -0 984 0001000000000010100010111100111111000000000000000000000000000001 -0 975 0001000000000010100010111100111111000000000000000000000000000001 -0 974 0001000000000010100010111100111111000000000000000000000000000001 -0 973 0001000000000010100010111100111111000000000000000000000000000001 -0 972 0001000000000010100010111100111111000000000000000000000000000001 -0 971 0001000000000010100010111100111111000000000000000000000000000001 -0 970 0001000000000010100010111100111111000000000000000000000000000001 -0 969 0001000000000010100010111100111111000000000000000000000000000001 -0 968 0001000000000010100010111100111111000000000000000000000000000001 -0 927 0001000000000010100010111100111111000000000000000000000000000001 -0 926 0001000000000010100010111100111111000000000000000000000000000001 -0 925 0001000000000010100010111100111111000000000000000000000000000001 -0 924 0001000000000010100010111100111111000000000000000000000000000001 -0 923 0001000000000010100010111100111111000000000000000000000000000001 -0 922 0001000000000010100010111100111111000000000000000000000000000001 -0 921 0001000000000010100010111100111111000000000000000000000000000001 -0 920 0001000000000010100010111100111111000000000000000000000000000001 -0 911 0001000000000010100010111100111111000000000000000000000000000001 -0 910 0001000000000010100010111100111111000000000000000000000000000001 -0 909 0001000000000010100010111100111111000000000000000000000000000001 -0 908 0001000000000010100010111100111111000000000000000000000000000001 -0 907 0001000000000010100010111100111111000000000000000000000000000001 -0 906 0001000000000010100010111100111111000000000000000000000000000001 -0 905 0001000000000010100010111100111111000000000000000000000000000001 -0 904 0001000000000010100010111100111111000000000000000000000000000001 -0 863 0001000000000010100010111100111111000000000000000000000000000001 -0 862 0001000000000010100010111100111111000000000000000000000000000001 -0 861 0001000000000010100010111100111111000000000000000000000000000001 -0 860 0001000000000010100010111100111111000000000000000000000000000001 -0 859 0001000000000010100010111100111111000000000000000000000000000001 -0 858 0001000000000010100010111100111111000000000000000000000000000001 -0 857 0001000000000010100010111100111111000000000000000000000000000001 -0 856 0001000000000010100010111100111111000000000000000000000000000001 -0 847 0001000000000010100010111100111111000000000000000000000000000001 -0 846 0001000000000010100010111100111111000000000000000000000000000001 -0 845 0001000000000010100010111100111111000000000000000000000000000001 -0 844 0001000000000010100010111100111111000000000000000000000000000001 -0 843 0001000000000010100010111100111111000000000000000000000000000001 -0 842 0001000000000010100010111100111111000000000000000000000000000001 -0 841 0001000000000010100010111100111111000000000000000000000000000001 -0 840 0001000000000010100010111100111111000000000000000000000000000001 -0 799 0001000000000010100010111100111111000000000000000000000000000001 -0 798 0001000000000010100010111100111111000000000000000000000000000001 -0 797 0001000000000010100010111100111111000000000000000000000000000001 -0 796 0001000000000010100010111100111111000000000000000000000000000001 -0 795 0001000000000010100010111100111111000000000000000000000000000001 -0 794 0001000000000010100010111100111111000000000000000000000000000001 -0 793 0001000000000010100010111100111111000000000000000000000000000001 -0 792 0001000000000010100010111100111111000000000000000000000000000001 -0 783 0001000000000010100010111100111111000000000000000000000000000001 -0 782 0001000000000010100010111100111111000000000000000000000000000001 -0 781 0001000000000010100010111100111111000000000000000000000000000001 -0 780 0001000000000010100010111100111111000000000000000000000000000001 -0 779 0001000000000010100010111100111111000000000000000000000000000001 -0 778 0001000000000010100010111100111111000000000000000000000000000001 -0 777 0001000000000010100010111100111111000000000000000000000000000001 -0 776 0001000000000010100010111100111111000000000000000000000000000001 -0 735 0001000000000010100010111100111111000000000000000000000000000001 -0 734 0001000000000010100010111100111111000000000000000000000000000001 -0 733 0001000000000010100010111100111111000000000000000000000000000001 -0 732 0001000000000010100010111100111111000000000000000000000000000001 -0 731 0001000000000010100010111100111111000000000000000000000000000001 -0 730 0001000000000010100010111100111111000000000000000000000000000001 -0 729 0001000000000010100010111100111111000000000000000000000000000001 -0 728 0001000000000010100010111100111111000000000000000000000000000001 -0 719 0001000000000010100010111100111111000000000000000000000000000001 -0 718 0001000000000010100010111100111111000000000000000000000000000001 -0 717 0001000000000010100010111100111111000000000000000000000000000001 -0 716 0001000000000010100010111100111111000000000000000000000000000001 -0 715 0001000000000010100010111100111111000000000000000000000000000001 -0 714 0001000000000010100010111100111111000000000000000000000000000001 -0 713 0001000000000010100010111100111111000000000000000000000000000001 -0 712 0001000000000010100010111100111111000000000000000000000000000001 -0 671 0001000000000010100010111100111111000000000000000000000000000001 -0 670 0001000000000010100010111100111111000000000000000000000000000001 -0 669 0001000000000010100010111100111111000000000000000000000000000001 -0 668 0001000000000010100010111100111111000000000000000000000000000001 -0 667 0001000000000010100010111100111111000000000000000000000000000001 -0 666 0001000000000010100010111100111111000000000000000000000000000001 -0 665 0001000000000010100010111100111111000000000000000000000000000001 -0 664 0001000000000010100010111100111111000000000000000000000000000001 -0 655 0001000000000010100010111100111111000000000000000000000000000001 -0 654 0001000000000010100010111100111111000000000000000000000000000001 -0 653 0001000000000010100010111100111111000000000000000000000000000001 -0 652 0001000000000010100010111100111111000000000000000000000000000001 -0 651 0001000000000010100010111100111111000000000000000000000000000001 -0 650 0001000000000010100010111100111111000000000000000000000000000001 -0 649 0001000000000010100010111100111111000000000000000000000000000001 -0 648 0001000000000010100010111100111111000000000000000000000000000001 -0 607 0001000000000010100010111100111111000000000000000000000000000001 -0 606 0001000000000010100010111100111111000000000000000000000000000001 -0 605 0001000000000010100010111100111111000000000000000000000000000001 -0 604 0001000000000010100010111100111111000000000000000000000000000001 -0 603 0001000000000010100010111100111111000000000000000000000000000001 -0 602 0001000000000010100010111100111111000000000000000000000000000001 -0 601 0001000000000010100010111100111111000000000000000000000000000001 -0 600 0001000000000010100010111100111111000000000000000000000000000001 -0 591 0001000000000010100010111100111111000000000000000000000000000001 -0 590 0001000000000010100010111100111111000000000000000000000000000001 -0 589 0001000000000010100010111100111111000000000000000000000000000001 -0 588 0001000000000010100010111100111111000000000000000000000000000001 -0 587 0001000000000010100010111100111111000000000000000000000000000001 -0 586 0001000000000010100010111100111111000000000000000000000000000001 -0 585 0001000000000010100010111100111111000000000000000000000000000001 -0 584 0001000000000010100010111100111111000000000000000000000000000001 -0 543 0001000000000010100010111100111111000000000000000000000000000001 -0 542 0001000000000010100010111100111111000000000000000000000000000001 -0 541 0001000000000010100010111100111111000000000000000000000000000001 -0 540 0001000000000010100010111100111111000000000000000000000000000001 -0 539 0001000000000010100010111100111111000000000000000000000000000001 -0 538 0001000000000010100010111100111111000000000000000000000000000001 -0 537 0001000000000010100010111100111111000000000000000000000000000001 -0 536 0001000000000010100010111100111111000000000000000000000000000001 -0 527 0001000000000010100010111100111111000000000000000000000000000001 -0 526 0001000000000010100010111100111111000000000000000000000000000001 -0 525 0001000000000010100010111100111111000000000000000000000000000001 -0 524 0001000000000010100010111100111111000000000000000000000000000001 -0 523 0001000000000010100010111100111111000000000000000000000000000001 -0 522 0001000000000010100010111100111111000000000000000000000000000001 -0 521 0001000000000010100010111100111111000000000000000000000000000001 -0 520 0001000000000010100010111100111111000000000000000000000000000001 -0 479 0001000000000010100010111100111111000000000000000000000000000001 -0 478 0001000000000010100010111100111111000000000000000000000000000001 -0 477 0001000000000010100010111100111111000000000000000000000000000001 -0 476 0001000000000010100010111100111111000000000000000000000000000001 -0 475 0001000000000010100010111100111111000000000000000000000000000001 -0 474 0001000000000010100010111100111111000000000000000000000000000001 -0 473 0001000000000010100010111100111111000000000000000000000000000001 -0 472 0001000000000010100010111100111111000000000000000000000000000001 -0 463 0001000000000010100010111100111111000000000000000000000000000001 -0 462 0001000000000010100010111100111111000000000000000000000000000001 -0 461 0001000000000010100010111100111111000000000000000000000000000001 -0 460 0001000000000010100010111100111111000000000000000000000000000001 -0 459 0001000000000010100010111100111111000000000000000000000000000001 -0 458 0001000000000010100010111100111111000000000000000000000000000001 -0 457 0001000000000010100010111100111111000000000000000000000000000001 -0 456 0001000000000010100010111100111111000000000000000000000000000001 -0 415 0001000000000010100010111100111111000000000000000000000000000001 -0 414 0001000000000010100010111100111111000000000000000000000000000001 -0 413 0001000000000010100010111100111111000000000000000000000000000001 -0 412 0001000000000010100010111100111111000000000000000000000000000001 -0 411 0001000000000010100010111100111111000000000000000000000000000001 -0 410 0001000000000010100010111100111111000000000000000000000000000001 -0 409 0001000000000010100010111100111111000000000000000000000000000001 -0 408 0001000000000010100010111100111111000000000000000000000000000001 -0 399 0001000000000010100010111100111111000000000000000000000000000001 -0 398 0001000000000010100010111100111111000000000000000000000000000001 -0 397 0001000000000010100010111100111111000000000000000000000000000001 -0 396 0001000000000010100010111100111111000000000000000000000000000001 -0 395 0001000000000010100010111100111111000000000000000000000000000001 -0 394 0001000000000010100010111100111111000000000000000000000000000001 -0 393 0001000000000010100010111100111111000000000000000000000000000001 -0 392 0001000000000010100010111100111111000000000000000000000000000001 -0 351 0001000000000010100010111100111111000000000000000000000000000001 -0 350 0001000000000010100010111100111111000000000000000000000000000001 -0 349 0001000000000010100010111100111111000000000000000000000000000001 -0 348 0001000000000010100010111100111111000000000000000000000000000001 -0 347 0001000000000010100010111100111111000000000000000000000000000001 -0 346 0001000000000010100010111100111111000000000000000000000000000001 -0 345 0001000000000010100010111100111111000000000000000000000000000001 -0 344 0001000000000010100010111100111111000000000000000000000000000001 -0 335 0001000000000010100010111100111111000000000000000000000000000001 -0 334 0001000000000010100010111100111111000000000000000000000000000001 -0 333 0001000000000010100010111100111111000000000000000000000000000001 -0 332 0001000000000010100010111100111111000000000000000000000000000001 -0 331 0001000000000010100010111100111111000000000000000000000000000001 -0 330 0001000000000010100010111100111111000000000000000000000000000001 -0 329 0001000000000010100010111100111111000000000000000000000000000001 -0 328 0001000000000010100010111100111111000000000000000000000000000001 -0 287 0001000000000010100010111100111111000000000000000000000000000001 -0 286 0001000000000010100010111100111111000000000000000000000000000001 -0 285 0001000000000010100010111100111111000000000000000000000000000001 -0 284 0001000000000010100010111100111111000000000000000000000000000001 -0 283 0001000000000010100010111100111111000000000000000000000000000001 -0 282 0001000000000010100010111100111111000000000000000000000000000001 -0 281 0001000000000010100010111100111111000000000000000000000000000001 -0 280 0001000000000010100010111100111111000000000000000000000000000001 -0 271 0001000000000010100010111100111111000000000000000000000000000001 -0 270 0001000000000010100010111100111111000000000000000000000000000001 -0 269 0001000000000010100010111100111111000000000000000000000000000001 -0 268 0001000000000010100010111100111111000000000000000000000000000001 -0 267 0001000000000010100010111100111111000000000000000000000000000001 -0 266 0001000000000010100010111100111111000000000000000000000000000001 -0 265 0001000000000010100010111100111111000000000000000000000000000001 -0 264 0001000000000010100010111100111111000000000000000000000000000001 -0 223 0001000000000010100010111100111111000000000000000000000000000001 -0 222 0001000000000010100010111100111111000000000000000000000000000001 -0 221 0001000000000010100010111100111111000000000000000000000000000001 -0 220 0001000000000010100010111100111111000000000000000000000000000001 -0 219 0001000000000010100010111100111111000000000000000000000000000001 -0 218 0001000000000010100010111100111111000000000000000000000000000001 -0 217 0001000000000010100010111100111111000000000000000000000000000001 -0 216 0001000000000010100010111100111111000000000000000000000000000001 -0 207 0001000000000010100010111100111111000000000000000000000000000001 -0 206 0001000000000010100010111100111111000000000000000000000000000001 -0 205 0001000000000010100010111100111111000000000000000000000000000001 -0 204 0001000000000010100010111100111111000000000000000000000000000001 -0 203 0001000000000010100010111100111111000000000000000000000000000001 -0 202 0001000000000010100010111100111111000000000000000000000000000001 -0 201 0001000000000010100010111100111111000000000000000000000000000001 -0 200 0001000000000010100010111100111111000000000000000000000000000001 -0 159 0001000000000010100010111100111111000000000000000000000000000001 -0 158 0001000000000010100010111100111111000000000000000000000000000001 -0 157 0001000000000010100010111100111111000000000000000000000000000001 -0 156 0001000000000010100010111100111111000000000000000000000000000001 -0 155 0001000000000010100010111100111111000000000000000000000000000001 -0 154 0001000000000010100010111100111111000000000000000000000000000001 -0 153 0001000000000010100010111100111111000000000000000000000000000001 -0 152 0001000000000010100010111100111111000000000000000000000000000001 -0 143 0001000000000010100010111100111111000000000000000000000000000001 -0 142 0001000000000010100010111100111111000000000000000000000000000001 -0 141 0001000000000010100010111100111111000000000000000000000000000001 -0 140 0001000000000010100010111100111111000000000000000000000000000001 -0 139 0001000000000010100010111100111111000000000000000000000000000001 -0 138 0001000000000010100010111100111111000000000000000000000000000001 -0 137 0001000000000010100010111100111111000000000000000000000000000001 -0 136 0001000000000010100010111100111111000000000000000000000000000001 -0 95 0001000000000010100010111100111111000000000000000000000000000001 -0 94 0001000000000010100010111100111111000000000000000000000000000001 -0 93 0001000000000010100010111100111111000000000000000000000000000001 -0 92 0001000000000010100010111100111111000000000000000000000000000001 -0 91 0001000000000010100010111100111111000000000000000000000000000001 -0 90 0001000000000010100010111100111111000000000000000000000000000001 -0 89 0001000000000010100010111100111111000000000000000000000000000001 -0 88 0001000000000010100010111100111111000000000000000000000000000001 -0 79 0001000000000010100010111100111111000000000000000000000000000001 -0 78 0001000000000010100010111100111111000000000000000000000000000001 -0 77 0001000000000010100010111100111111000000000000000000000000000001 -0 76 0001000000000010100010111100111111000000000000000000000000000001 -0 75 0001000000000010100010111100111111000000000000000000000000000001 -0 74 0001000000000010100010111100111111000000000000000000000000000001 -0 73 0001000000000010100010111100111111000000000000000000000000000001 -0 72 0001000000000010100010111100111111000000000000000000000000000001 -0 31 0001000000000010100010111100111111000000000000000000000000000001 -0 30 0001000000000010100010111100111111000000000000000000000000000001 -0 29 0001000000000010100010111100111111000000000000000000000000000001 -0 28 0001000000000010100010111100111111000000000000000000000000000001 -0 27 0001000000000010100010111100111111000000000000000000000000000001 -0 26 0001000000000010100010111100111111000000000000000000000000000001 -0 25 0001000000000010100010111100111111000000000000000000000000000001 -0 24 0001000000000010100010111100111111000000000000000000000000000001 -0 15 0001000000000010100010111100111111000000000000000000000000000001 -0 14 0001000000000010100010111100111111000000000000000000000000000001 -0 13 0001000000000010100010111100111111000000000000000000000000000001 -0 12 0001000000000010100010111100111111000000000000000000000000000001 -0 11 0001000000000010100010111100111111000000000000000000000000000001 -0 10 0001000000000010100010111100111111000000000000000000000000000001 -0 9 0001000000000010100010111100111111000000000000000000000000000001 -0 8 0001000000000010100010111100111111000000000000000000000000000001 +0 431 0001000000000010000011000100010001000000000000000000000000000001 +0 430 0001000000000010000011000100010001000000000000000000000000000001 +0 303 0001000000000010000011000100010001000000000000000000000000000001 +0 302 0001000000000010000011000100010001000000000000000000000000000001 +0 175 0001000000000010000011000100010001000000000000000000000000000001 +0 174 0001000000000010000011000100010001000000000000000000000000000001 +0 47 0001000000000010000011000100010001000000000000000000000000000001 +0 46 0001000000000010000011000100010001000000000000000000000000000001 +0 873 0001000000000011001011101010001001000000000000000000000000000001 +0 872 0001000000000011001011101010001001000000000000000000000000000001 +0 841 0001000000000011001011101010001001000000000000000000000000000001 +0 840 0001000000000011001011101010001001000000000000000000000000000001 +0 809 0001000000000011001011101010001001000000000000000000000000000001 +0 808 0001000000000011001011101010001001000000000000000000000000000001 +0 777 0001000000000011001011101010001001000000000000000000000000000001 +0 776 0001000000000011001011101010001001000000000000000000000000000001 +0 361 0001000000000011001011101010001001000000000000000000000000000001 +0 360 0001000000000011001011101010001001000000000000000000000000000001 +0 329 0001000000000011001011101010001001000000000000000000000000000001 +0 328 0001000000000011001011101010001001000000000000000000000000000001 +0 297 0001000000000011001011101010001001000000000000000000000000000001 +0 296 0001000000000011001011101010001001000000000000000000000000000001 +0 265 0001000000000011001011101010001001000000000000000000000000000001 +0 264 0001000000000011001011101010001001000000000000000000000000000001 +0 1023 0001000000000001100110101111111111000000000000000000000000000001 +0 1022 0001000000000001100110101111111111000000000000000000000000000001 +0 1021 0001000000000001100110101111111111000000000000000000000000000001 +0 1020 0001000000000001100110101111111111000000000000000000000000000001 +0 1019 0001000000000001100110101111111111000000000000000000000000000001 +0 1018 0001000000000001100110101111111111000000000000000000000000000001 +0 1017 0001000000000001100110101111111111000000000000000000000000000001 +0 1016 0001000000000001100110101111111111000000000000000000000000000001 +0 1015 0001000000000001100110101111111111000000000000000000000000000001 +0 1014 0001000000000001100110101111111111000000000000000000000000000001 +0 1013 0001000000000001100110101111111111000000000000000000000000000001 +0 1012 0001000000000001100110101111111111000000000000000000000000000001 +0 1011 0001000000000001100110101111111111000000000000000000000000000001 +0 1010 0001000000000001100110101111111111000000000000000000000000000001 +0 1009 0001000000000001100110101111111111000000000000000000000000000001 +0 1008 0001000000000001100110101111111111000000000000000000000000000001 +0 1007 0001000000000001100110101111111111000000000000000000000000000001 +0 1006 0001000000000001100110101111111111000000000000000000000000000001 +0 1005 0001000000000001100110101111111111000000000000000000000000000001 +0 1004 0001000000000001100110101111111111000000000000000000000000000001 +0 1003 0001000000000001100110101111111111000000000000000000000000000001 +0 1002 0001000000000001100110101111111111000000000000000000000000000001 +0 1001 0001000000000001100110101111111111000000000000000000000000000001 +0 1000 0001000000000001100110101111111111000000000000000000000000000001 +0 999 0001000000000001100110101111111111000000000000000000000000000001 +0 998 0001000000000001100110101111111111000000000000000000000000000001 +0 997 0001000000000001100110101111111111000000000000000000000000000001 +0 996 0001000000000001100110101111111111000000000000000000000000000001 +0 995 0001000000000001100110101111111111000000000000000000000000000001 +0 994 0001000000000001100110101111111111000000000000000000000000000001 +0 993 0001000000000001100110101111111111000000000000000000000000000001 +0 992 0001000000000001100110101111111111000000000000000000000000000001 +0 991 0001000000000001100110101111111111000000000000000000000000000001 +0 990 0001000000000001100110101111111111000000000000000000000000000001 +0 989 0001000000000001100110101111111111000000000000000000000000000001 +0 988 0001000000000001100110101111111111000000000000000000000000000001 +0 987 0001000000000001100110101111111111000000000000000000000000000001 +0 986 0001000000000001100110101111111111000000000000000000000000000001 +0 985 0001000000000001100110101111111111000000000000000000000000000001 +0 984 0001000000000001100110101111111111000000000000000000000000000001 +0 983 0001000000000001100110101111111111000000000000000000000000000001 +0 982 0001000000000001100110101111111111000000000000000000000000000001 +0 981 0001000000000001100110101111111111000000000000000000000000000001 +0 980 0001000000000001100110101111111111000000000000000000000000000001 +0 979 0001000000000001100110101111111111000000000000000000000000000001 +0 978 0001000000000001100110101111111111000000000000000000000000000001 +0 977 0001000000000001100110101111111111000000000000000000000000000001 +0 976 0001000000000001100110101111111111000000000000000000000000000001 +0 975 0001000000000001100110101111111111000000000000000000000000000001 +0 974 0001000000000001100110101111111111000000000000000000000000000001 +0 973 0001000000000001100110101111111111000000000000000000000000000001 +0 972 0001000000000001100110101111111111000000000000000000000000000001 +0 971 0001000000000001100110101111111111000000000000000000000000000001 +0 970 0001000000000001100110101111111111000000000000000000000000000001 +0 969 0001000000000001100110101111111111000000000000000000000000000001 +0 968 0001000000000001100110101111111111000000000000000000000000000001 +0 967 0001000000000001100110101111111111000000000000000000000000000001 +0 966 0001000000000001100110101111111111000000000000000000000000000001 +0 965 0001000000000001100110101111111111000000000000000000000000000001 +0 964 0001000000000001100110101111111111000000000000000000000000000001 +0 963 0001000000000001100110101111111111000000000000000000000000000001 +0 962 0001000000000001100110101111111111000000000000000000000000000001 +0 961 0001000000000001100110101111111111000000000000000000000000000001 +0 960 0001000000000001100110101111111111000000000000000000000000000001 +0 959 0001000000000001100110101111111111000000000000000000000000000001 +0 958 0001000000000001100110101111111111000000000000000000000000000001 +0 957 0001000000000001100110101111111111000000000000000000000000000001 +0 956 0001000000000001100110101111111111000000000000000000000000000001 +0 955 0001000000000001100110101111111111000000000000000000000000000001 +0 954 0001000000000001100110101111111111000000000000000000000000000001 +0 953 0001000000000001100110101111111111000000000000000000000000000001 +0 952 0001000000000001100110101111111111000000000000000000000000000001 +0 951 0001000000000001100110101111111111000000000000000000000000000001 +0 950 0001000000000001100110101111111111000000000000000000000000000001 +0 949 0001000000000001100110101111111111000000000000000000000000000001 +0 948 0001000000000001100110101111111111000000000000000000000000000001 +0 947 0001000000000001100110101111111111000000000000000000000000000001 +0 946 0001000000000001100110101111111111000000000000000000000000000001 +0 945 0001000000000001100110101111111111000000000000000000000000000001 +0 944 0001000000000001100110101111111111000000000000000000000000000001 +0 943 0001000000000001100110101111111111000000000000000000000000000001 +0 942 0001000000000001100110101111111111000000000000000000000000000001 +0 941 0001000000000001100110101111111111000000000000000000000000000001 +0 940 0001000000000001100110101111111111000000000000000000000000000001 +0 939 0001000000000001100110101111111111000000000000000000000000000001 +0 938 0001000000000001100110101111111111000000000000000000000000000001 +0 937 0001000000000001100110101111111111000000000000000000000000000001 +0 936 0001000000000001100110101111111111000000000000000000000000000001 +0 935 0001000000000001100110101111111111000000000000000000000000000001 +0 934 0001000000000001100110101111111111000000000000000000000000000001 +0 933 0001000000000001100110101111111111000000000000000000000000000001 +0 932 0001000000000001100110101111111111000000000000000000000000000001 +0 931 0001000000000001100110101111111111000000000000000000000000000001 +0 930 0001000000000001100110101111111111000000000000000000000000000001 +0 929 0001000000000001100110101111111111000000000000000000000000000001 +0 928 0001000000000001100110101111111111000000000000000000000000000001 +0 927 0001000000000001100110101111111111000000000000000000000000000001 +0 926 0001000000000001100110101111111111000000000000000000000000000001 +0 925 0001000000000001100110101111111111000000000000000000000000000001 +0 924 0001000000000001100110101111111111000000000000000000000000000001 +0 923 0001000000000001100110101111111111000000000000000000000000000001 +0 922 0001000000000001100110101111111111000000000000000000000000000001 +0 921 0001000000000001100110101111111111000000000000000000000000000001 +0 920 0001000000000001100110101111111111000000000000000000000000000001 +0 919 0001000000000001100110101111111111000000000000000000000000000001 +0 918 0001000000000001100110101111111111000000000000000000000000000001 +0 917 0001000000000001100110101111111111000000000000000000000000000001 +0 916 0001000000000001100110101111111111000000000000000000000000000001 +0 915 0001000000000001100110101111111111000000000000000000000000000001 +0 914 0001000000000001100110101111111111000000000000000000000000000001 +0 913 0001000000000001100110101111111111000000000000000000000000000001 +0 912 0001000000000001100110101111111111000000000000000000000000000001 +0 911 0001000000000001100110101111111111000000000000000000000000000001 +0 910 0001000000000001100110101111111111000000000000000000000000000001 +0 909 0001000000000001100110101111111111000000000000000000000000000001 +0 908 0001000000000001100110101111111111000000000000000000000000000001 +0 907 0001000000000001100110101111111111000000000000000000000000000001 +0 906 0001000000000001100110101111111111000000000000000000000000000001 +0 905 0001000000000001100110101111111111000000000000000000000000000001 +0 904 0001000000000001100110101111111111000000000000000000000000000001 +0 903 0001000000000001100110101111111111000000000000000000000000000001 +0 902 0001000000000001100110101111111111000000000000000000000000000001 +0 901 0001000000000001100110101111111111000000000000000000000000000001 +0 900 0001000000000001100110101111111111000000000000000000000000000001 +0 899 0001000000000001100110101111111111000000000000000000000000000001 +0 898 0001000000000001100110101111111111000000000000000000000000000001 +0 897 0001000000000001100110101111111111000000000000000000000000000001 +0 896 0001000000000001100110101111111111000000000000000000000000000001 +0 895 0001000000000001100110101111111111000000000000000000000000000001 +0 894 0001000000000001100110101111111111000000000000000000000000000001 +0 893 0001000000000001100110101111111111000000000000000000000000000001 +0 892 0001000000000001100110101111111111000000000000000000000000000001 +0 891 0001000000000001100110101111111111000000000000000000000000000001 +0 890 0001000000000001100110101111111111000000000000000000000000000001 +0 889 0001000000000001100110101111111111000000000000000000000000000001 +0 888 0001000000000001100110101111111111000000000000000000000000000001 +0 887 0001000000000001100110101111111111000000000000000000000000000001 +0 886 0001000000000001100110101111111111000000000000000000000000000001 +0 885 0001000000000001100110101111111111000000000000000000000000000001 +0 884 0001000000000001100110101111111111000000000000000000000000000001 +0 883 0001000000000001100110101111111111000000000000000000000000000001 +0 882 0001000000000001100110101111111111000000000000000000000000000001 +0 881 0001000000000001100110101111111111000000000000000000000000000001 +0 880 0001000000000001100110101111111111000000000000000000000000000001 +0 879 0001000000000001100110101111111111000000000000000000000000000001 +0 878 0001000000000001100110101111111111000000000000000000000000000001 +0 877 0001000000000001100110101111111111000000000000000000000000000001 +0 876 0001000000000001100110101111111111000000000000000000000000000001 +0 875 0001000000000001100110101111111111000000000000000000000000000001 +0 874 0001000000000001100110101111111111000000000000000000000000000001 +0 873 0001000000000001100110101111111111000000000000000000000000000001 +0 872 0001000000000001100110101111111111000000000000000000000000000001 +0 871 0001000000000001100110101111111111000000000000000000000000000001 +0 870 0001000000000001100110101111111111000000000000000000000000000001 +0 869 0001000000000001100110101111111111000000000000000000000000000001 +0 868 0001000000000001100110101111111111000000000000000000000000000001 +0 867 0001000000000001100110101111111111000000000000000000000000000001 +0 866 0001000000000001100110101111111111000000000000000000000000000001 +0 865 0001000000000001100110101111111111000000000000000000000000000001 +0 864 0001000000000001100110101111111111000000000000000000000000000001 +0 863 0001000000000001100110101111111111000000000000000000000000000001 +0 862 0001000000000001100110101111111111000000000000000000000000000001 +0 861 0001000000000001100110101111111111000000000000000000000000000001 +0 860 0001000000000001100110101111111111000000000000000000000000000001 +0 859 0001000000000001100110101111111111000000000000000000000000000001 +0 858 0001000000000001100110101111111111000000000000000000000000000001 +0 857 0001000000000001100110101111111111000000000000000000000000000001 +0 856 0001000000000001100110101111111111000000000000000000000000000001 +0 855 0001000000000001100110101111111111000000000000000000000000000001 +0 854 0001000000000001100110101111111111000000000000000000000000000001 +0 853 0001000000000001100110101111111111000000000000000000000000000001 +0 852 0001000000000001100110101111111111000000000000000000000000000001 +0 851 0001000000000001100110101111111111000000000000000000000000000001 +0 850 0001000000000001100110101111111111000000000000000000000000000001 +0 849 0001000000000001100110101111111111000000000000000000000000000001 +0 848 0001000000000001100110101111111111000000000000000000000000000001 +0 847 0001000000000001100110101111111111000000000000000000000000000001 +0 846 0001000000000001100110101111111111000000000000000000000000000001 +0 845 0001000000000001100110101111111111000000000000000000000000000001 +0 844 0001000000000001100110101111111111000000000000000000000000000001 +0 843 0001000000000001100110101111111111000000000000000000000000000001 +0 842 0001000000000001100110101111111111000000000000000000000000000001 +0 841 0001000000000001100110101111111111000000000000000000000000000001 +0 840 0001000000000001100110101111111111000000000000000000000000000001 +0 839 0001000000000001100110101111111111000000000000000000000000000001 +0 838 0001000000000001100110101111111111000000000000000000000000000001 +0 837 0001000000000001100110101111111111000000000000000000000000000001 +0 836 0001000000000001100110101111111111000000000000000000000000000001 +0 835 0001000000000001100110101111111111000000000000000000000000000001 +0 834 0001000000000001100110101111111111000000000000000000000000000001 +0 833 0001000000000001100110101111111111000000000000000000000000000001 +0 832 0001000000000001100110101111111111000000000000000000000000000001 +0 831 0001000000000001100110101111111111000000000000000000000000000001 +0 830 0001000000000001100110101111111111000000000000000000000000000001 +0 829 0001000000000001100110101111111111000000000000000000000000000001 +0 828 0001000000000001100110101111111111000000000000000000000000000001 +0 827 0001000000000001100110101111111111000000000000000000000000000001 +0 826 0001000000000001100110101111111111000000000000000000000000000001 +0 825 0001000000000001100110101111111111000000000000000000000000000001 +0 824 0001000000000001100110101111111111000000000000000000000000000001 +0 823 0001000000000001100110101111111111000000000000000000000000000001 +0 822 0001000000000001100110101111111111000000000000000000000000000001 +0 821 0001000000000001100110101111111111000000000000000000000000000001 +0 820 0001000000000001100110101111111111000000000000000000000000000001 +0 819 0001000000000001100110101111111111000000000000000000000000000001 +0 818 0001000000000001100110101111111111000000000000000000000000000001 +0 817 0001000000000001100110101111111111000000000000000000000000000001 +0 816 0001000000000001100110101111111111000000000000000000000000000001 +0 815 0001000000000001100110101111111111000000000000000000000000000001 +0 814 0001000000000001100110101111111111000000000000000000000000000001 +0 813 0001000000000001100110101111111111000000000000000000000000000001 +0 812 0001000000000001100110101111111111000000000000000000000000000001 +0 811 0001000000000001100110101111111111000000000000000000000000000001 +0 810 0001000000000001100110101111111111000000000000000000000000000001 +0 809 0001000000000001100110101111111111000000000000000000000000000001 +0 808 0001000000000001100110101111111111000000000000000000000000000001 +0 807 0001000000000001100110101111111111000000000000000000000000000001 +0 806 0001000000000001100110101111111111000000000000000000000000000001 +0 805 0001000000000001100110101111111111000000000000000000000000000001 +0 804 0001000000000001100110101111111111000000000000000000000000000001 +0 803 0001000000000001100110101111111111000000000000000000000000000001 +0 802 0001000000000001100110101111111111000000000000000000000000000001 +0 801 0001000000000001100110101111111111000000000000000000000000000001 +0 800 0001000000000001100110101111111111000000000000000000000000000001 +0 799 0001000000000001100110101111111111000000000000000000000000000001 +0 798 0001000000000001100110101111111111000000000000000000000000000001 +0 797 0001000000000001100110101111111111000000000000000000000000000001 +0 796 0001000000000001100110101111111111000000000000000000000000000001 +0 795 0001000000000001100110101111111111000000000000000000000000000001 +0 794 0001000000000001100110101111111111000000000000000000000000000001 +0 793 0001000000000001100110101111111111000000000000000000000000000001 +0 792 0001000000000001100110101111111111000000000000000000000000000001 +0 791 0001000000000001100110101111111111000000000000000000000000000001 +0 790 0001000000000001100110101111111111000000000000000000000000000001 +0 789 0001000000000001100110101111111111000000000000000000000000000001 +0 788 0001000000000001100110101111111111000000000000000000000000000001 +0 787 0001000000000001100110101111111111000000000000000000000000000001 +0 786 0001000000000001100110101111111111000000000000000000000000000001 +0 785 0001000000000001100110101111111111000000000000000000000000000001 +0 784 0001000000000001100110101111111111000000000000000000000000000001 +0 783 0001000000000001100110101111111111000000000000000000000000000001 +0 782 0001000000000001100110101111111111000000000000000000000000000001 +0 781 0001000000000001100110101111111111000000000000000000000000000001 +0 780 0001000000000001100110101111111111000000000000000000000000000001 +0 779 0001000000000001100110101111111111000000000000000000000000000001 +0 778 0001000000000001100110101111111111000000000000000000000000000001 +0 777 0001000000000001100110101111111111000000000000000000000000000001 +0 776 0001000000000001100110101111111111000000000000000000000000000001 +0 775 0001000000000001100110101111111111000000000000000000000000000001 +0 774 0001000000000001100110101111111111000000000000000000000000000001 +0 773 0001000000000001100110101111111111000000000000000000000000000001 +0 772 0001000000000001100110101111111111000000000000000000000000000001 +0 771 0001000000000001100110101111111111000000000000000000000000000001 +0 770 0001000000000001100110101111111111000000000000000000000000000001 +0 769 0001000000000001100110101111111111000000000000000000000000000001 +0 768 0001000000000001100110101111111111000000000000000000000000000001 +0 767 0001000000000001100110101111111111000000000000000000000000000001 +0 766 0001000000000001100110101111111111000000000000000000000000000001 +0 765 0001000000000001100110101111111111000000000000000000000000000001 +0 764 0001000000000001100110101111111111000000000000000000000000000001 +0 763 0001000000000001100110101111111111000000000000000000000000000001 +0 762 0001000000000001100110101111111111000000000000000000000000000001 +0 761 0001000000000001100110101111111111000000000000000000000000000001 +0 760 0001000000000001100110101111111111000000000000000000000000000001 +0 759 0001000000000001100110101111111111000000000000000000000000000001 +0 758 0001000000000001100110101111111111000000000000000000000000000001 +0 757 0001000000000001100110101111111111000000000000000000000000000001 +0 756 0001000000000001100110101111111111000000000000000000000000000001 +0 755 0001000000000001100110101111111111000000000000000000000000000001 +0 754 0001000000000001100110101111111111000000000000000000000000000001 +0 753 0001000000000001100110101111111111000000000000000000000000000001 +0 752 0001000000000001100110101111111111000000000000000000000000000001 +0 751 0001000000000001100110101111111111000000000000000000000000000001 +0 750 0001000000000001100110101111111111000000000000000000000000000001 +0 749 0001000000000001100110101111111111000000000000000000000000000001 +0 748 0001000000000001100110101111111111000000000000000000000000000001 +0 747 0001000000000001100110101111111111000000000000000000000000000001 +0 746 0001000000000001100110101111111111000000000000000000000000000001 +0 745 0001000000000001100110101111111111000000000000000000000000000001 +0 744 0001000000000001100110101111111111000000000000000000000000000001 +0 743 0001000000000001100110101111111111000000000000000000000000000001 +0 742 0001000000000001100110101111111111000000000000000000000000000001 +0 741 0001000000000001100110101111111111000000000000000000000000000001 +0 740 0001000000000001100110101111111111000000000000000000000000000001 +0 739 0001000000000001100110101111111111000000000000000000000000000001 +0 738 0001000000000001100110101111111111000000000000000000000000000001 +0 737 0001000000000001100110101111111111000000000000000000000000000001 +0 736 0001000000000001100110101111111111000000000000000000000000000001 +0 735 0001000000000001100110101111111111000000000000000000000000000001 +0 734 0001000000000001100110101111111111000000000000000000000000000001 +0 733 0001000000000001100110101111111111000000000000000000000000000001 +0 732 0001000000000001100110101111111111000000000000000000000000000001 +0 731 0001000000000001100110101111111111000000000000000000000000000001 +0 730 0001000000000001100110101111111111000000000000000000000000000001 +0 729 0001000000000001100110101111111111000000000000000000000000000001 +0 728 0001000000000001100110101111111111000000000000000000000000000001 +0 727 0001000000000001100110101111111111000000000000000000000000000001 +0 726 0001000000000001100110101111111111000000000000000000000000000001 +0 725 0001000000000001100110101111111111000000000000000000000000000001 +0 724 0001000000000001100110101111111111000000000000000000000000000001 +0 723 0001000000000001100110101111111111000000000000000000000000000001 +0 722 0001000000000001100110101111111111000000000000000000000000000001 +0 721 0001000000000001100110101111111111000000000000000000000000000001 +0 720 0001000000000001100110101111111111000000000000000000000000000001 +0 719 0001000000000001100110101111111111000000000000000000000000000001 +0 718 0001000000000001100110101111111111000000000000000000000000000001 +0 717 0001000000000001100110101111111111000000000000000000000000000001 +0 716 0001000000000001100110101111111111000000000000000000000000000001 +0 715 0001000000000001100110101111111111000000000000000000000000000001 +0 714 0001000000000001100110101111111111000000000000000000000000000001 +0 713 0001000000000001100110101111111111000000000000000000000000000001 +0 712 0001000000000001100110101111111111000000000000000000000000000001 +0 711 0001000000000001100110101111111111000000000000000000000000000001 +0 710 0001000000000001100110101111111111000000000000000000000000000001 +0 709 0001000000000001100110101111111111000000000000000000000000000001 +0 708 0001000000000001100110101111111111000000000000000000000000000001 +0 707 0001000000000001100110101111111111000000000000000000000000000001 +0 706 0001000000000001100110101111111111000000000000000000000000000001 +0 705 0001000000000001100110101111111111000000000000000000000000000001 +0 704 0001000000000001100110101111111111000000000000000000000000000001 +0 703 0001000000000001100110101111111111000000000000000000000000000001 +0 702 0001000000000001100110101111111111000000000000000000000000000001 +0 701 0001000000000001100110101111111111000000000000000000000000000001 +0 700 0001000000000001100110101111111111000000000000000000000000000001 +0 699 0001000000000001100110101111111111000000000000000000000000000001 +0 698 0001000000000001100110101111111111000000000000000000000000000001 +0 697 0001000000000001100110101111111111000000000000000000000000000001 +0 696 0001000000000001100110101111111111000000000000000000000000000001 +0 695 0001000000000001100110101111111111000000000000000000000000000001 +0 694 0001000000000001100110101111111111000000000000000000000000000001 +0 693 0001000000000001100110101111111111000000000000000000000000000001 +0 692 0001000000000001100110101111111111000000000000000000000000000001 +0 691 0001000000000001100110101111111111000000000000000000000000000001 +0 690 0001000000000001100110101111111111000000000000000000000000000001 +0 689 0001000000000001100110101111111111000000000000000000000000000001 +0 688 0001000000000001100110101111111111000000000000000000000000000001 +0 687 0001000000000001100110101111111111000000000000000000000000000001 +0 686 0001000000000001100110101111111111000000000000000000000000000001 +0 685 0001000000000001100110101111111111000000000000000000000000000001 +0 684 0001000000000001100110101111111111000000000000000000000000000001 +0 683 0001000000000001100110101111111111000000000000000000000000000001 +0 682 0001000000000001100110101111111111000000000000000000000000000001 +0 681 0001000000000001100110101111111111000000000000000000000000000001 +0 680 0001000000000001100110101111111111000000000000000000000000000001 +0 679 0001000000000001100110101111111111000000000000000000000000000001 +0 678 0001000000000001100110101111111111000000000000000000000000000001 +0 677 0001000000000001100110101111111111000000000000000000000000000001 +0 676 0001000000000001100110101111111111000000000000000000000000000001 +0 675 0001000000000001100110101111111111000000000000000000000000000001 +0 674 0001000000000001100110101111111111000000000000000000000000000001 +0 673 0001000000000001100110101111111111000000000000000000000000000001 +0 672 0001000000000001100110101111111111000000000000000000000000000001 +0 671 0001000000000001100110101111111111000000000000000000000000000001 +0 670 0001000000000001100110101111111111000000000000000000000000000001 +0 669 0001000000000001100110101111111111000000000000000000000000000001 +0 668 0001000000000001100110101111111111000000000000000000000000000001 +0 667 0001000000000001100110101111111111000000000000000000000000000001 +0 666 0001000000000001100110101111111111000000000000000000000000000001 +0 665 0001000000000001100110101111111111000000000000000000000000000001 +0 664 0001000000000001100110101111111111000000000000000000000000000001 +0 663 0001000000000001100110101111111111000000000000000000000000000001 +0 662 0001000000000001100110101111111111000000000000000000000000000001 +0 661 0001000000000001100110101111111111000000000000000000000000000001 +0 660 0001000000000001100110101111111111000000000000000000000000000001 +0 659 0001000000000001100110101111111111000000000000000000000000000001 +0 658 0001000000000001100110101111111111000000000000000000000000000001 +0 657 0001000000000001100110101111111111000000000000000000000000000001 +0 656 0001000000000001100110101111111111000000000000000000000000000001 +0 655 0001000000000001100110101111111111000000000000000000000000000001 +0 654 0001000000000001100110101111111111000000000000000000000000000001 +0 653 0001000000000001100110101111111111000000000000000000000000000001 +0 652 0001000000000001100110101111111111000000000000000000000000000001 +0 651 0001000000000001100110101111111111000000000000000000000000000001 +0 650 0001000000000001100110101111111111000000000000000000000000000001 +0 649 0001000000000001100110101111111111000000000000000000000000000001 +0 648 0001000000000001100110101111111111000000000000000000000000000001 +0 647 0001000000000001100110101111111111000000000000000000000000000001 +0 646 0001000000000001100110101111111111000000000000000000000000000001 +0 645 0001000000000001100110101111111111000000000000000000000000000001 +0 644 0001000000000001100110101111111111000000000000000000000000000001 +0 643 0001000000000001100110101111111111000000000000000000000000000001 +0 642 0001000000000001100110101111111111000000000000000000000000000001 +0 641 0001000000000001100110101111111111000000000000000000000000000001 +0 640 0001000000000001100110101111111111000000000000000000000000000001 +0 639 0001000000000001100110101111111111000000000000000000000000000001 +0 638 0001000000000001100110101111111111000000000000000000000000000001 +0 637 0001000000000001100110101111111111000000000000000000000000000001 +0 636 0001000000000001100110101111111111000000000000000000000000000001 +0 635 0001000000000001100110101111111111000000000000000000000000000001 +0 634 0001000000000001100110101111111111000000000000000000000000000001 +0 633 0001000000000001100110101111111111000000000000000000000000000001 +0 632 0001000000000001100110101111111111000000000000000000000000000001 +0 631 0001000000000001100110101111111111000000000000000000000000000001 +0 630 0001000000000001100110101111111111000000000000000000000000000001 +0 629 0001000000000001100110101111111111000000000000000000000000000001 +0 628 0001000000000001100110101111111111000000000000000000000000000001 +0 627 0001000000000001100110101111111111000000000000000000000000000001 +0 626 0001000000000001100110101111111111000000000000000000000000000001 +0 625 0001000000000001100110101111111111000000000000000000000000000001 +0 624 0001000000000001100110101111111111000000000000000000000000000001 +0 623 0001000000000001100110101111111111000000000000000000000000000001 +0 622 0001000000000001100110101111111111000000000000000000000000000001 +0 621 0001000000000001100110101111111111000000000000000000000000000001 +0 620 0001000000000001100110101111111111000000000000000000000000000001 +0 619 0001000000000001100110101111111111000000000000000000000000000001 +0 618 0001000000000001100110101111111111000000000000000000000000000001 +0 617 0001000000000001100110101111111111000000000000000000000000000001 +0 616 0001000000000001100110101111111111000000000000000000000000000001 +0 615 0001000000000001100110101111111111000000000000000000000000000001 +0 614 0001000000000001100110101111111111000000000000000000000000000001 +0 613 0001000000000001100110101111111111000000000000000000000000000001 +0 612 0001000000000001100110101111111111000000000000000000000000000001 +0 611 0001000000000001100110101111111111000000000000000000000000000001 +0 610 0001000000000001100110101111111111000000000000000000000000000001 +0 609 0001000000000001100110101111111111000000000000000000000000000001 +0 608 0001000000000001100110101111111111000000000000000000000000000001 +0 607 0001000000000001100110101111111111000000000000000000000000000001 +0 606 0001000000000001100110101111111111000000000000000000000000000001 +0 605 0001000000000001100110101111111111000000000000000000000000000001 +0 604 0001000000000001100110101111111111000000000000000000000000000001 +0 603 0001000000000001100110101111111111000000000000000000000000000001 +0 602 0001000000000001100110101111111111000000000000000000000000000001 +0 601 0001000000000001100110101111111111000000000000000000000000000001 +0 600 0001000000000001100110101111111111000000000000000000000000000001 +0 599 0001000000000001100110101111111111000000000000000000000000000001 +0 598 0001000000000001100110101111111111000000000000000000000000000001 +0 597 0001000000000001100110101111111111000000000000000000000000000001 +0 596 0001000000000001100110101111111111000000000000000000000000000001 +0 595 0001000000000001100110101111111111000000000000000000000000000001 +0 594 0001000000000001100110101111111111000000000000000000000000000001 +0 593 0001000000000001100110101111111111000000000000000000000000000001 +0 592 0001000000000001100110101111111111000000000000000000000000000001 +0 591 0001000000000001100110101111111111000000000000000000000000000001 +0 590 0001000000000001100110101111111111000000000000000000000000000001 +0 589 0001000000000001100110101111111111000000000000000000000000000001 +0 588 0001000000000001100110101111111111000000000000000000000000000001 +0 587 0001000000000001100110101111111111000000000000000000000000000001 +0 586 0001000000000001100110101111111111000000000000000000000000000001 +0 585 0001000000000001100110101111111111000000000000000000000000000001 +0 584 0001000000000001100110101111111111000000000000000000000000000001 +0 583 0001000000000001100110101111111111000000000000000000000000000001 +0 582 0001000000000001100110101111111111000000000000000000000000000001 +0 581 0001000000000001100110101111111111000000000000000000000000000001 +0 580 0001000000000001100110101111111111000000000000000000000000000001 +0 579 0001000000000001100110101111111111000000000000000000000000000001 +0 578 0001000000000001100110101111111111000000000000000000000000000001 +0 577 0001000000000001100110101111111111000000000000000000000000000001 +0 576 0001000000000001100110101111111111000000000000000000000000000001 +0 575 0001000000000001100110101111111111000000000000000000000000000001 +0 574 0001000000000001100110101111111111000000000000000000000000000001 +0 573 0001000000000001100110101111111111000000000000000000000000000001 +0 572 0001000000000001100110101111111111000000000000000000000000000001 +0 571 0001000000000001100110101111111111000000000000000000000000000001 +0 570 0001000000000001100110101111111111000000000000000000000000000001 +0 569 0001000000000001100110101111111111000000000000000000000000000001 +0 568 0001000000000001100110101111111111000000000000000000000000000001 +0 567 0001000000000001100110101111111111000000000000000000000000000001 +0 566 0001000000000001100110101111111111000000000000000000000000000001 +0 565 0001000000000001100110101111111111000000000000000000000000000001 +0 564 0001000000000001100110101111111111000000000000000000000000000001 +0 563 0001000000000001100110101111111111000000000000000000000000000001 +0 562 0001000000000001100110101111111111000000000000000000000000000001 +0 561 0001000000000001100110101111111111000000000000000000000000000001 +0 560 0001000000000001100110101111111111000000000000000000000000000001 +0 559 0001000000000001100110101111111111000000000000000000000000000001 +0 558 0001000000000001100110101111111111000000000000000000000000000001 +0 557 0001000000000001100110101111111111000000000000000000000000000001 +0 556 0001000000000001100110101111111111000000000000000000000000000001 +0 555 0001000000000001100110101111111111000000000000000000000000000001 +0 554 0001000000000001100110101111111111000000000000000000000000000001 +0 553 0001000000000001100110101111111111000000000000000000000000000001 +0 552 0001000000000001100110101111111111000000000000000000000000000001 +0 551 0001000000000001100110101111111111000000000000000000000000000001 +0 550 0001000000000001100110101111111111000000000000000000000000000001 +0 549 0001000000000001100110101111111111000000000000000000000000000001 +0 548 0001000000000001100110101111111111000000000000000000000000000001 +0 547 0001000000000001100110101111111111000000000000000000000000000001 +0 546 0001000000000001100110101111111111000000000000000000000000000001 +0 545 0001000000000001100110101111111111000000000000000000000000000001 +0 544 0001000000000001100110101111111111000000000000000000000000000001 +0 543 0001000000000001100110101111111111000000000000000000000000000001 +0 542 0001000000000001100110101111111111000000000000000000000000000001 +0 541 0001000000000001100110101111111111000000000000000000000000000001 +0 540 0001000000000001100110101111111111000000000000000000000000000001 +0 539 0001000000000001100110101111111111000000000000000000000000000001 +0 538 0001000000000001100110101111111111000000000000000000000000000001 +0 537 0001000000000001100110101111111111000000000000000000000000000001 +0 536 0001000000000001100110101111111111000000000000000000000000000001 +0 535 0001000000000001100110101111111111000000000000000000000000000001 +0 534 0001000000000001100110101111111111000000000000000000000000000001 +0 533 0001000000000001100110101111111111000000000000000000000000000001 +0 532 0001000000000001100110101111111111000000000000000000000000000001 +0 531 0001000000000001100110101111111111000000000000000000000000000001 +0 530 0001000000000001100110101111111111000000000000000000000000000001 +0 529 0001000000000001100110101111111111000000000000000000000000000001 +0 528 0001000000000001100110101111111111000000000000000000000000000001 +0 527 0001000000000001100110101111111111000000000000000000000000000001 +0 526 0001000000000001100110101111111111000000000000000000000000000001 +0 525 0001000000000001100110101111111111000000000000000000000000000001 +0 524 0001000000000001100110101111111111000000000000000000000000000001 +0 523 0001000000000001100110101111111111000000000000000000000000000001 +0 522 0001000000000001100110101111111111000000000000000000000000000001 +0 521 0001000000000001100110101111111111000000000000000000000000000001 +0 520 0001000000000001100110101111111111000000000000000000000000000001 +0 519 0001000000000001100110101111111111000000000000000000000000000001 +0 518 0001000000000001100110101111111111000000000000000000000000000001 +0 517 0001000000000001100110101111111111000000000000000000000000000001 +0 516 0001000000000001100110101111111111000000000000000000000000000001 +0 515 0001000000000001100110101111111111000000000000000000000000000001 +0 514 0001000000000001100110101111111111000000000000000000000000000001 +0 513 0001000000000001100110101111111111000000000000000000000000000001 +0 512 0001000000000001100110101111111111000000000000000000000000000001 +0 511 0001000000000001100110101111111111000000000000000000000000000001 +0 510 0001000000000001100110101111111111000000000000000000000000000001 +0 509 0001000000000001100110101111111111000000000000000000000000000001 +0 508 0001000000000001100110101111111111000000000000000000000000000001 +0 507 0001000000000001100110101111111111000000000000000000000000000001 +0 506 0001000000000001100110101111111111000000000000000000000000000001 +0 505 0001000000000001100110101111111111000000000000000000000000000001 +0 504 0001000000000001100110101111111111000000000000000000000000000001 +0 503 0001000000000001100110101111111111000000000000000000000000000001 +0 502 0001000000000001100110101111111111000000000000000000000000000001 +0 501 0001000000000001100110101111111111000000000000000000000000000001 +0 500 0001000000000001100110101111111111000000000000000000000000000001 +0 499 0001000000000001100110101111111111000000000000000000000000000001 +0 498 0001000000000001100110101111111111000000000000000000000000000001 +0 497 0001000000000001100110101111111111000000000000000000000000000001 +0 496 0001000000000001100110101111111111000000000000000000000000000001 +0 495 0001000000000001100110101111111111000000000000000000000000000001 +0 494 0001000000000001100110101111111111000000000000000000000000000001 +0 493 0001000000000001100110101111111111000000000000000000000000000001 +0 492 0001000000000001100110101111111111000000000000000000000000000001 +0 491 0001000000000001100110101111111111000000000000000000000000000001 +0 490 0001000000000001100110101111111111000000000000000000000000000001 +0 489 0001000000000001100110101111111111000000000000000000000000000001 +0 488 0001000000000001100110101111111111000000000000000000000000000001 +0 487 0001000000000001100110101111111111000000000000000000000000000001 +0 486 0001000000000001100110101111111111000000000000000000000000000001 +0 485 0001000000000001100110101111111111000000000000000000000000000001 +0 484 0001000000000001100110101111111111000000000000000000000000000001 +0 483 0001000000000001100110101111111111000000000000000000000000000001 +0 482 0001000000000001100110101111111111000000000000000000000000000001 +0 481 0001000000000001100110101111111111000000000000000000000000000001 +0 480 0001000000000001100110101111111111000000000000000000000000000001 +0 479 0001000000000001100110101111111111000000000000000000000000000001 +0 478 0001000000000001100110101111111111000000000000000000000000000001 +0 477 0001000000000001100110101111111111000000000000000000000000000001 +0 476 0001000000000001100110101111111111000000000000000000000000000001 +0 475 0001000000000001100110101111111111000000000000000000000000000001 +0 474 0001000000000001100110101111111111000000000000000000000000000001 +0 473 0001000000000001100110101111111111000000000000000000000000000001 +0 472 0001000000000001100110101111111111000000000000000000000000000001 +0 471 0001000000000001100110101111111111000000000000000000000000000001 +0 470 0001000000000001100110101111111111000000000000000000000000000001 +0 469 0001000000000001100110101111111111000000000000000000000000000001 +0 468 0001000000000001100110101111111111000000000000000000000000000001 +0 467 0001000000000001100110101111111111000000000000000000000000000001 +0 466 0001000000000001100110101111111111000000000000000000000000000001 +0 465 0001000000000001100110101111111111000000000000000000000000000001 +0 464 0001000000000001100110101111111111000000000000000000000000000001 +0 463 0001000000000001100110101111111111000000000000000000000000000001 +0 462 0001000000000001100110101111111111000000000000000000000000000001 +0 461 0001000000000001100110101111111111000000000000000000000000000001 +0 460 0001000000000001100110101111111111000000000000000000000000000001 +0 459 0001000000000001100110101111111111000000000000000000000000000001 +0 458 0001000000000001100110101111111111000000000000000000000000000001 +0 457 0001000000000001100110101111111111000000000000000000000000000001 +0 456 0001000000000001100110101111111111000000000000000000000000000001 +0 455 0001000000000001100110101111111111000000000000000000000000000001 +0 454 0001000000000001100110101111111111000000000000000000000000000001 +0 453 0001000000000001100110101111111111000000000000000000000000000001 +0 452 0001000000000001100110101111111111000000000000000000000000000001 +0 451 0001000000000001100110101111111111000000000000000000000000000001 +0 450 0001000000000001100110101111111111000000000000000000000000000001 +0 449 0001000000000001100110101111111111000000000000000000000000000001 +0 448 0001000000000001100110101111111111000000000000000000000000000001 +0 447 0001000000000001100110101111111111000000000000000000000000000001 +0 446 0001000000000001100110101111111111000000000000000000000000000001 +0 445 0001000000000001100110101111111111000000000000000000000000000001 +0 444 0001000000000001100110101111111111000000000000000000000000000001 +0 443 0001000000000001100110101111111111000000000000000000000000000001 +0 442 0001000000000001100110101111111111000000000000000000000000000001 +0 441 0001000000000001100110101111111111000000000000000000000000000001 +0 440 0001000000000001100110101111111111000000000000000000000000000001 +0 439 0001000000000001100110101111111111000000000000000000000000000001 +0 438 0001000000000001100110101111111111000000000000000000000000000001 +0 437 0001000000000001100110101111111111000000000000000000000000000001 +0 436 0001000000000001100110101111111111000000000000000000000000000001 +0 435 0001000000000001100110101111111111000000000000000000000000000001 +0 434 0001000000000001100110101111111111000000000000000000000000000001 +0 433 0001000000000001100110101111111111000000000000000000000000000001 +0 432 0001000000000001100110101111111111000000000000000000000000000001 +0 431 0001000000000001100110101111111111000000000000000000000000000001 +0 430 0001000000000001100110101111111111000000000000000000000000000001 +0 429 0001000000000001100110101111111111000000000000000000000000000001 +0 428 0001000000000001100110101111111111000000000000000000000000000001 +0 427 0001000000000001100110101111111111000000000000000000000000000001 +0 426 0001000000000001100110101111111111000000000000000000000000000001 +0 425 0001000000000001100110101111111111000000000000000000000000000001 +0 424 0001000000000001100110101111111111000000000000000000000000000001 +0 423 0001000000000001100110101111111111000000000000000000000000000001 +0 422 0001000000000001100110101111111111000000000000000000000000000001 +0 421 0001000000000001100110101111111111000000000000000000000000000001 +0 420 0001000000000001100110101111111111000000000000000000000000000001 +0 419 0001000000000001100110101111111111000000000000000000000000000001 +0 418 0001000000000001100110101111111111000000000000000000000000000001 +0 417 0001000000000001100110101111111111000000000000000000000000000001 +0 416 0001000000000001100110101111111111000000000000000000000000000001 +0 415 0001000000000001100110101111111111000000000000000000000000000001 +0 414 0001000000000001100110101111111111000000000000000000000000000001 +0 413 0001000000000001100110101111111111000000000000000000000000000001 +0 412 0001000000000001100110101111111111000000000000000000000000000001 +0 411 0001000000000001100110101111111111000000000000000000000000000001 +0 410 0001000000000001100110101111111111000000000000000000000000000001 +0 409 0001000000000001100110101111111111000000000000000000000000000001 +0 408 0001000000000001100110101111111111000000000000000000000000000001 +0 407 0001000000000001100110101111111111000000000000000000000000000001 +0 406 0001000000000001100110101111111111000000000000000000000000000001 +0 405 0001000000000001100110101111111111000000000000000000000000000001 +0 404 0001000000000001100110101111111111000000000000000000000000000001 +0 403 0001000000000001100110101111111111000000000000000000000000000001 +0 402 0001000000000001100110101111111111000000000000000000000000000001 +0 401 0001000000000001100110101111111111000000000000000000000000000001 +0 400 0001000000000001100110101111111111000000000000000000000000000001 +0 399 0001000000000001100110101111111111000000000000000000000000000001 +0 398 0001000000000001100110101111111111000000000000000000000000000001 +0 397 0001000000000001100110101111111111000000000000000000000000000001 +0 396 0001000000000001100110101111111111000000000000000000000000000001 +0 395 0001000000000001100110101111111111000000000000000000000000000001 +0 394 0001000000000001100110101111111111000000000000000000000000000001 +0 393 0001000000000001100110101111111111000000000000000000000000000001 +0 392 0001000000000001100110101111111111000000000000000000000000000001 +0 391 0001000000000001100110101111111111000000000000000000000000000001 +0 390 0001000000000001100110101111111111000000000000000000000000000001 +0 389 0001000000000001100110101111111111000000000000000000000000000001 +0 388 0001000000000001100110101111111111000000000000000000000000000001 +0 387 0001000000000001100110101111111111000000000000000000000000000001 +0 386 0001000000000001100110101111111111000000000000000000000000000001 +0 385 0001000000000001100110101111111111000000000000000000000000000001 +0 384 0001000000000001100110101111111111000000000000000000000000000001 +0 383 0001000000000001100110101111111111000000000000000000000000000001 +0 382 0001000000000001100110101111111111000000000000000000000000000001 +0 381 0001000000000001100110101111111111000000000000000000000000000001 +0 380 0001000000000001100110101111111111000000000000000000000000000001 +0 379 0001000000000001100110101111111111000000000000000000000000000001 +0 378 0001000000000001100110101111111111000000000000000000000000000001 +0 377 0001000000000001100110101111111111000000000000000000000000000001 +0 376 0001000000000001100110101111111111000000000000000000000000000001 +0 375 0001000000000001100110101111111111000000000000000000000000000001 +0 374 0001000000000001100110101111111111000000000000000000000000000001 +0 373 0001000000000001100110101111111111000000000000000000000000000001 +0 372 0001000000000001100110101111111111000000000000000000000000000001 +0 371 0001000000000001100110101111111111000000000000000000000000000001 +0 370 0001000000000001100110101111111111000000000000000000000000000001 +0 369 0001000000000001100110101111111111000000000000000000000000000001 +0 368 0001000000000001100110101111111111000000000000000000000000000001 +0 367 0001000000000001100110101111111111000000000000000000000000000001 +0 366 0001000000000001100110101111111111000000000000000000000000000001 +0 365 0001000000000001100110101111111111000000000000000000000000000001 +0 364 0001000000000001100110101111111111000000000000000000000000000001 +0 363 0001000000000001100110101111111111000000000000000000000000000001 +0 362 0001000000000001100110101111111111000000000000000000000000000001 +0 361 0001000000000001100110101111111111000000000000000000000000000001 +0 360 0001000000000001100110101111111111000000000000000000000000000001 +0 359 0001000000000001100110101111111111000000000000000000000000000001 +0 358 0001000000000001100110101111111111000000000000000000000000000001 +0 357 0001000000000001100110101111111111000000000000000000000000000001 +0 356 0001000000000001100110101111111111000000000000000000000000000001 +0 355 0001000000000001100110101111111111000000000000000000000000000001 +0 354 0001000000000001100110101111111111000000000000000000000000000001 +0 353 0001000000000001100110101111111111000000000000000000000000000001 +0 352 0001000000000001100110101111111111000000000000000000000000000001 +0 351 0001000000000001100110101111111111000000000000000000000000000001 +0 350 0001000000000001100110101111111111000000000000000000000000000001 +0 349 0001000000000001100110101111111111000000000000000000000000000001 +0 348 0001000000000001100110101111111111000000000000000000000000000001 +0 347 0001000000000001100110101111111111000000000000000000000000000001 +0 346 0001000000000001100110101111111111000000000000000000000000000001 +0 345 0001000000000001100110101111111111000000000000000000000000000001 +0 344 0001000000000001100110101111111111000000000000000000000000000001 +0 343 0001000000000001100110101111111111000000000000000000000000000001 +0 342 0001000000000001100110101111111111000000000000000000000000000001 +0 341 0001000000000001100110101111111111000000000000000000000000000001 +0 340 0001000000000001100110101111111111000000000000000000000000000001 +0 339 0001000000000001100110101111111111000000000000000000000000000001 +0 338 0001000000000001100110101111111111000000000000000000000000000001 +0 337 0001000000000001100110101111111111000000000000000000000000000001 +0 336 0001000000000001100110101111111111000000000000000000000000000001 +0 335 0001000000000001100110101111111111000000000000000000000000000001 +0 334 0001000000000001100110101111111111000000000000000000000000000001 +0 333 0001000000000001100110101111111111000000000000000000000000000001 +0 332 0001000000000001100110101111111111000000000000000000000000000001 +0 331 0001000000000001100110101111111111000000000000000000000000000001 +0 330 0001000000000001100110101111111111000000000000000000000000000001 +0 329 0001000000000001100110101111111111000000000000000000000000000001 +0 328 0001000000000001100110101111111111000000000000000000000000000001 +0 327 0001000000000001100110101111111111000000000000000000000000000001 +0 326 0001000000000001100110101111111111000000000000000000000000000001 +0 325 0001000000000001100110101111111111000000000000000000000000000001 +0 324 0001000000000001100110101111111111000000000000000000000000000001 +0 323 0001000000000001100110101111111111000000000000000000000000000001 +0 322 0001000000000001100110101111111111000000000000000000000000000001 +0 321 0001000000000001100110101111111111000000000000000000000000000001 +0 320 0001000000000001100110101111111111000000000000000000000000000001 +0 319 0001000000000001100110101111111111000000000000000000000000000001 +0 318 0001000000000001100110101111111111000000000000000000000000000001 +0 317 0001000000000001100110101111111111000000000000000000000000000001 +0 316 0001000000000001100110101111111111000000000000000000000000000001 +0 315 0001000000000001100110101111111111000000000000000000000000000001 +0 314 0001000000000001100110101111111111000000000000000000000000000001 +0 313 0001000000000001100110101111111111000000000000000000000000000001 +0 312 0001000000000001100110101111111111000000000000000000000000000001 +0 311 0001000000000001100110101111111111000000000000000000000000000001 +0 310 0001000000000001100110101111111111000000000000000000000000000001 +0 309 0001000000000001100110101111111111000000000000000000000000000001 +0 308 0001000000000001100110101111111111000000000000000000000000000001 +0 307 0001000000000001100110101111111111000000000000000000000000000001 +0 306 0001000000000001100110101111111111000000000000000000000000000001 +0 305 0001000000000001100110101111111111000000000000000000000000000001 +0 304 0001000000000001100110101111111111000000000000000000000000000001 +0 303 0001000000000001100110101111111111000000000000000000000000000001 +0 302 0001000000000001100110101111111111000000000000000000000000000001 +0 301 0001000000000001100110101111111111000000000000000000000000000001 +0 300 0001000000000001100110101111111111000000000000000000000000000001 +0 299 0001000000000001100110101111111111000000000000000000000000000001 +0 298 0001000000000001100110101111111111000000000000000000000000000001 +0 297 0001000000000001100110101111111111000000000000000000000000000001 +0 296 0001000000000001100110101111111111000000000000000000000000000001 +0 295 0001000000000001100110101111111111000000000000000000000000000001 +0 294 0001000000000001100110101111111111000000000000000000000000000001 +0 293 0001000000000001100110101111111111000000000000000000000000000001 +0 292 0001000000000001100110101111111111000000000000000000000000000001 +0 291 0001000000000001100110101111111111000000000000000000000000000001 +0 290 0001000000000001100110101111111111000000000000000000000000000001 +0 289 0001000000000001100110101111111111000000000000000000000000000001 +0 288 0001000000000001100110101111111111000000000000000000000000000001 +0 287 0001000000000001100110101111111111000000000000000000000000000001 +0 286 0001000000000001100110101111111111000000000000000000000000000001 +0 285 0001000000000001100110101111111111000000000000000000000000000001 +0 284 0001000000000001100110101111111111000000000000000000000000000001 +0 283 0001000000000001100110101111111111000000000000000000000000000001 +0 282 0001000000000001100110101111111111000000000000000000000000000001 +0 281 0001000000000001100110101111111111000000000000000000000000000001 +0 280 0001000000000001100110101111111111000000000000000000000000000001 +0 279 0001000000000001100110101111111111000000000000000000000000000001 +0 278 0001000000000001100110101111111111000000000000000000000000000001 +0 277 0001000000000001100110101111111111000000000000000000000000000001 +0 276 0001000000000001100110101111111111000000000000000000000000000001 +0 275 0001000000000001100110101111111111000000000000000000000000000001 +0 274 0001000000000001100110101111111111000000000000000000000000000001 +0 273 0001000000000001100110101111111111000000000000000000000000000001 +0 272 0001000000000001100110101111111111000000000000000000000000000001 +0 271 0001000000000001100110101111111111000000000000000000000000000001 +0 270 0001000000000001100110101111111111000000000000000000000000000001 +0 269 0001000000000001100110101111111111000000000000000000000000000001 +0 268 0001000000000001100110101111111111000000000000000000000000000001 +0 267 0001000000000001100110101111111111000000000000000000000000000001 +0 266 0001000000000001100110101111111111000000000000000000000000000001 +0 265 0001000000000001100110101111111111000000000000000000000000000001 +0 264 0001000000000001100110101111111111000000000000000000000000000001 +0 263 0001000000000001100110101111111111000000000000000000000000000001 +0 262 0001000000000001100110101111111111000000000000000000000000000001 +0 261 0001000000000001100110101111111111000000000000000000000000000001 +0 260 0001000000000001100110101111111111000000000000000000000000000001 +0 259 0001000000000001100110101111111111000000000000000000000000000001 +0 258 0001000000000001100110101111111111000000000000000000000000000001 +0 257 0001000000000001100110101111111111000000000000000000000000000001 +0 256 0001000000000001100110101111111111000000000000000000000000000001 +0 255 0001000000000001100110101111111111000000000000000000000000000001 +0 254 0001000000000001100110101111111111000000000000000000000000000001 +0 253 0001000000000001100110101111111111000000000000000000000000000001 +0 252 0001000000000001100110101111111111000000000000000000000000000001 +0 251 0001000000000001100110101111111111000000000000000000000000000001 +0 250 0001000000000001100110101111111111000000000000000000000000000001 +0 249 0001000000000001100110101111111111000000000000000000000000000001 +0 248 0001000000000001100110101111111111000000000000000000000000000001 +0 247 0001000000000001100110101111111111000000000000000000000000000001 +0 246 0001000000000001100110101111111111000000000000000000000000000001 +0 245 0001000000000001100110101111111111000000000000000000000000000001 +0 244 0001000000000001100110101111111111000000000000000000000000000001 +0 243 0001000000000001100110101111111111000000000000000000000000000001 +0 242 0001000000000001100110101111111111000000000000000000000000000001 +0 241 0001000000000001100110101111111111000000000000000000000000000001 +0 240 0001000000000001100110101111111111000000000000000000000000000001 +0 239 0001000000000001100110101111111111000000000000000000000000000001 +0 238 0001000000000001100110101111111111000000000000000000000000000001 +0 237 0001000000000001100110101111111111000000000000000000000000000001 +0 236 0001000000000001100110101111111111000000000000000000000000000001 +0 235 0001000000000001100110101111111111000000000000000000000000000001 +0 234 0001000000000001100110101111111111000000000000000000000000000001 +0 233 0001000000000001100110101111111111000000000000000000000000000001 +0 232 0001000000000001100110101111111111000000000000000000000000000001 +0 231 0001000000000001100110101111111111000000000000000000000000000001 +0 230 0001000000000001100110101111111111000000000000000000000000000001 +0 229 0001000000000001100110101111111111000000000000000000000000000001 +0 228 0001000000000001100110101111111111000000000000000000000000000001 +0 227 0001000000000001100110101111111111000000000000000000000000000001 +0 226 0001000000000001100110101111111111000000000000000000000000000001 +0 225 0001000000000001100110101111111111000000000000000000000000000001 +0 224 0001000000000001100110101111111111000000000000000000000000000001 +0 223 0001000000000001100110101111111111000000000000000000000000000001 +0 222 0001000000000001100110101111111111000000000000000000000000000001 +0 221 0001000000000001100110101111111111000000000000000000000000000001 +0 220 0001000000000001100110101111111111000000000000000000000000000001 +0 219 0001000000000001100110101111111111000000000000000000000000000001 +0 218 0001000000000001100110101111111111000000000000000000000000000001 +0 217 0001000000000001100110101111111111000000000000000000000000000001 +0 216 0001000000000001100110101111111111000000000000000000000000000001 +0 215 0001000000000001100110101111111111000000000000000000000000000001 +0 214 0001000000000001100110101111111111000000000000000000000000000001 +0 213 0001000000000001100110101111111111000000000000000000000000000001 +0 212 0001000000000001100110101111111111000000000000000000000000000001 +0 211 0001000000000001100110101111111111000000000000000000000000000001 +0 210 0001000000000001100110101111111111000000000000000000000000000001 +0 209 0001000000000001100110101111111111000000000000000000000000000001 +0 208 0001000000000001100110101111111111000000000000000000000000000001 +0 207 0001000000000001100110101111111111000000000000000000000000000001 +0 206 0001000000000001100110101111111111000000000000000000000000000001 +0 205 0001000000000001100110101111111111000000000000000000000000000001 +0 204 0001000000000001100110101111111111000000000000000000000000000001 +0 203 0001000000000001100110101111111111000000000000000000000000000001 +0 202 0001000000000001100110101111111111000000000000000000000000000001 +0 201 0001000000000001100110101111111111000000000000000000000000000001 +0 200 0001000000000001100110101111111111000000000000000000000000000001 +0 199 0001000000000001100110101111111111000000000000000000000000000001 +0 198 0001000000000001100110101111111111000000000000000000000000000001 +0 197 0001000000000001100110101111111111000000000000000000000000000001 +0 196 0001000000000001100110101111111111000000000000000000000000000001 +0 195 0001000000000001100110101111111111000000000000000000000000000001 +0 194 0001000000000001100110101111111111000000000000000000000000000001 +0 193 0001000000000001100110101111111111000000000000000000000000000001 +0 192 0001000000000001100110101111111111000000000000000000000000000001 +0 191 0001000000000001100110101111111111000000000000000000000000000001 +0 190 0001000000000001100110101111111111000000000000000000000000000001 +0 189 0001000000000001100110101111111111000000000000000000000000000001 +0 188 0001000000000001100110101111111111000000000000000000000000000001 +0 187 0001000000000001100110101111111111000000000000000000000000000001 +0 186 0001000000000001100110101111111111000000000000000000000000000001 +0 185 0001000000000001100110101111111111000000000000000000000000000001 +0 184 0001000000000001100110101111111111000000000000000000000000000001 +0 183 0001000000000001100110101111111111000000000000000000000000000001 +0 182 0001000000000001100110101111111111000000000000000000000000000001 +0 181 0001000000000001100110101111111111000000000000000000000000000001 +0 180 0001000000000001100110101111111111000000000000000000000000000001 +0 179 0001000000000001100110101111111111000000000000000000000000000001 +0 178 0001000000000001100110101111111111000000000000000000000000000001 +0 177 0001000000000001100110101111111111000000000000000000000000000001 +0 176 0001000000000001100110101111111111000000000000000000000000000001 +0 175 0001000000000001100110101111111111000000000000000000000000000001 +0 174 0001000000000001100110101111111111000000000000000000000000000001 +0 173 0001000000000001100110101111111111000000000000000000000000000001 +0 172 0001000000000001100110101111111111000000000000000000000000000001 +0 171 0001000000000001100110101111111111000000000000000000000000000001 +0 170 0001000000000001100110101111111111000000000000000000000000000001 +0 169 0001000000000001100110101111111111000000000000000000000000000001 +0 168 0001000000000001100110101111111111000000000000000000000000000001 +0 167 0001000000000001100110101111111111000000000000000000000000000001 +0 166 0001000000000001100110101111111111000000000000000000000000000001 +0 165 0001000000000001100110101111111111000000000000000000000000000001 +0 164 0001000000000001100110101111111111000000000000000000000000000001 +0 163 0001000000000001100110101111111111000000000000000000000000000001 +0 162 0001000000000001100110101111111111000000000000000000000000000001 +0 161 0001000000000001100110101111111111000000000000000000000000000001 +0 160 0001000000000001100110101111111111000000000000000000000000000001 +0 159 0001000000000001100110101111111111000000000000000000000000000001 +0 158 0001000000000001100110101111111111000000000000000000000000000001 +0 157 0001000000000001100110101111111111000000000000000000000000000001 +0 156 0001000000000001100110101111111111000000000000000000000000000001 +0 155 0001000000000001100110101111111111000000000000000000000000000001 +0 154 0001000000000001100110101111111111000000000000000000000000000001 +0 153 0001000000000001100110101111111111000000000000000000000000000001 +0 152 0001000000000001100110101111111111000000000000000000000000000001 +0 151 0001000000000001100110101111111111000000000000000000000000000001 +0 150 0001000000000001100110101111111111000000000000000000000000000001 +0 149 0001000000000001100110101111111111000000000000000000000000000001 +0 148 0001000000000001100110101111111111000000000000000000000000000001 +0 147 0001000000000001100110101111111111000000000000000000000000000001 +0 146 0001000000000001100110101111111111000000000000000000000000000001 +0 145 0001000000000001100110101111111111000000000000000000000000000001 +0 144 0001000000000001100110101111111111000000000000000000000000000001 +0 143 0001000000000001100110101111111111000000000000000000000000000001 +0 142 0001000000000001100110101111111111000000000000000000000000000001 +0 141 0001000000000001100110101111111111000000000000000000000000000001 +0 140 0001000000000001100110101111111111000000000000000000000000000001 +0 139 0001000000000001100110101111111111000000000000000000000000000001 +0 138 0001000000000001100110101111111111000000000000000000000000000001 +0 137 0001000000000001100110101111111111000000000000000000000000000001 +0 136 0001000000000001100110101111111111000000000000000000000000000001 +0 135 0001000000000001100110101111111111000000000000000000000000000001 +0 134 0001000000000001100110101111111111000000000000000000000000000001 +0 133 0001000000000001100110101111111111000000000000000000000000000001 +0 132 0001000000000001100110101111111111000000000000000000000000000001 +0 131 0001000000000001100110101111111111000000000000000000000000000001 +0 130 0001000000000001100110101111111111000000000000000000000000000001 +0 129 0001000000000001100110101111111111000000000000000000000000000001 +0 128 0001000000000001100110101111111111000000000000000000000000000001 +0 127 0001000000000001100110101111111111000000000000000000000000000001 +0 126 0001000000000001100110101111111111000000000000000000000000000001 +0 125 0001000000000001100110101111111111000000000000000000000000000001 +0 124 0001000000000001100110101111111111000000000000000000000000000001 +0 123 0001000000000001100110101111111111000000000000000000000000000001 +0 122 0001000000000001100110101111111111000000000000000000000000000001 +0 121 0001000000000001100110101111111111000000000000000000000000000001 +0 120 0001000000000001100110101111111111000000000000000000000000000001 +0 119 0001000000000001100110101111111111000000000000000000000000000001 +0 118 0001000000000001100110101111111111000000000000000000000000000001 +0 117 0001000000000001100110101111111111000000000000000000000000000001 +0 116 0001000000000001100110101111111111000000000000000000000000000001 +0 115 0001000000000001100110101111111111000000000000000000000000000001 +0 114 0001000000000001100110101111111111000000000000000000000000000001 +0 113 0001000000000001100110101111111111000000000000000000000000000001 +0 112 0001000000000001100110101111111111000000000000000000000000000001 +0 111 0001000000000001100110101111111111000000000000000000000000000001 +0 110 0001000000000001100110101111111111000000000000000000000000000001 +0 109 0001000000000001100110101111111111000000000000000000000000000001 +0 108 0001000000000001100110101111111111000000000000000000000000000001 +0 107 0001000000000001100110101111111111000000000000000000000000000001 +0 106 0001000000000001100110101111111111000000000000000000000000000001 +0 105 0001000000000001100110101111111111000000000000000000000000000001 +0 104 0001000000000001100110101111111111000000000000000000000000000001 +0 103 0001000000000001100110101111111111000000000000000000000000000001 +0 102 0001000000000001100110101111111111000000000000000000000000000001 +0 101 0001000000000001100110101111111111000000000000000000000000000001 +0 100 0001000000000001100110101111111111000000000000000000000000000001 +0 99 0001000000000001100110101111111111000000000000000000000000000001 +0 98 0001000000000001100110101111111111000000000000000000000000000001 +0 97 0001000000000001100110101111111111000000000000000000000000000001 +0 96 0001000000000001100110101111111111000000000000000000000000000001 +0 95 0001000000000001100110101111111111000000000000000000000000000001 +0 94 0001000000000001100110101111111111000000000000000000000000000001 +0 93 0001000000000001100110101111111111000000000000000000000000000001 +0 92 0001000000000001100110101111111111000000000000000000000000000001 +0 91 0001000000000001100110101111111111000000000000000000000000000001 +0 90 0001000000000001100110101111111111000000000000000000000000000001 +0 89 0001000000000001100110101111111111000000000000000000000000000001 +0 88 0001000000000001100110101111111111000000000000000000000000000001 +0 87 0001000000000001100110101111111111000000000000000000000000000001 +0 86 0001000000000001100110101111111111000000000000000000000000000001 +0 85 0001000000000001100110101111111111000000000000000000000000000001 +0 84 0001000000000001100110101111111111000000000000000000000000000001 +0 83 0001000000000001100110101111111111000000000000000000000000000001 +0 82 0001000000000001100110101111111111000000000000000000000000000001 +0 81 0001000000000001100110101111111111000000000000000000000000000001 +0 80 0001000000000001100110101111111111000000000000000000000000000001 +0 79 0001000000000001100110101111111111000000000000000000000000000001 +0 78 0001000000000001100110101111111111000000000000000000000000000001 +0 77 0001000000000001100110101111111111000000000000000000000000000001 +0 76 0001000000000001100110101111111111000000000000000000000000000001 +0 75 0001000000000001100110101111111111000000000000000000000000000001 +0 74 0001000000000001100110101111111111000000000000000000000000000001 +0 73 0001000000000001100110101111111111000000000000000000000000000001 +0 72 0001000000000001100110101111111111000000000000000000000000000001 +0 71 0001000000000001100110101111111111000000000000000000000000000001 +0 70 0001000000000001100110101111111111000000000000000000000000000001 +0 69 0001000000000001100110101111111111000000000000000000000000000001 +0 68 0001000000000001100110101111111111000000000000000000000000000001 +0 67 0001000000000001100110101111111111000000000000000000000000000001 +0 66 0001000000000001100110101111111111000000000000000000000000000001 +0 65 0001000000000001100110101111111111000000000000000000000000000001 +0 64 0001000000000001100110101111111111000000000000000000000000000001 +0 63 0001000000000001100110101111111111000000000000000000000000000001 +0 62 0001000000000001100110101111111111000000000000000000000000000001 +0 61 0001000000000001100110101111111111000000000000000000000000000001 +0 60 0001000000000001100110101111111111000000000000000000000000000001 +0 59 0001000000000001100110101111111111000000000000000000000000000001 +0 58 0001000000000001100110101111111111000000000000000000000000000001 +0 57 0001000000000001100110101111111111000000000000000000000000000001 +0 56 0001000000000001100110101111111111000000000000000000000000000001 +0 55 0001000000000001100110101111111111000000000000000000000000000001 +0 54 0001000000000001100110101111111111000000000000000000000000000001 +0 53 0001000000000001100110101111111111000000000000000000000000000001 +0 52 0001000000000001100110101111111111000000000000000000000000000001 +0 51 0001000000000001100110101111111111000000000000000000000000000001 +0 50 0001000000000001100110101111111111000000000000000000000000000001 +0 49 0001000000000001100110101111111111000000000000000000000000000001 +0 48 0001000000000001100110101111111111000000000000000000000000000001 +0 47 0001000000000001100110101111111111000000000000000000000000000001 +0 46 0001000000000001100110101111111111000000000000000000000000000001 +0 45 0001000000000001100110101111111111000000000000000000000000000001 +0 44 0001000000000001100110101111111111000000000000000000000000000001 +0 43 0001000000000001100110101111111111000000000000000000000000000001 +0 42 0001000000000001100110101111111111000000000000000000000000000001 +0 41 0001000000000001100110101111111111000000000000000000000000000001 +0 40 0001000000000001100110101111111111000000000000000000000000000001 +0 39 0001000000000001100110101111111111000000000000000000000000000001 +0 38 0001000000000001100110101111111111000000000000000000000000000001 +0 37 0001000000000001100110101111111111000000000000000000000000000001 +0 36 0001000000000001100110101111111111000000000000000000000000000001 +0 35 0001000000000001100110101111111111000000000000000000000000000001 +0 34 0001000000000001100110101111111111000000000000000000000000000001 +0 33 0001000000000001100110101111111111000000000000000000000000000001 +0 32 0001000000000001100110101111111111000000000000000000000000000001 +0 31 0001000000000001100110101111111111000000000000000000000000000001 +0 30 0001000000000001100110101111111111000000000000000000000000000001 +0 29 0001000000000001100110101111111111000000000000000000000000000001 +0 28 0001000000000001100110101111111111000000000000000000000000000001 +0 27 0001000000000001100110101111111111000000000000000000000000000001 +0 26 0001000000000001100110101111111111000000000000000000000000000001 +0 25 0001000000000001100110101111111111000000000000000000000000000001 +0 24 0001000000000001100110101111111111000000000000000000000000000001 +0 23 0001000000000001100110101111111111000000000000000000000000000001 +0 22 0001000000000001100110101111111111000000000000000000000000000001 +0 21 0001000000000001100110101111111111000000000000000000000000000001 +0 20 0001000000000001100110101111111111000000000000000000000000000001 +0 19 0001000000000001100110101111111111000000000000000000000000000001 +0 18 0001000000000001100110101111111111000000000000000000000000000001 +0 17 0001000000000001100110101111111111000000000000000000000000000001 +0 16 0001000000000001100110101111111111000000000000000000000000000001 +0 15 0001000000000001100110101111111111000000000000000000000000000001 +0 14 0001000000000001100110101111111111000000000000000000000000000001 +0 13 0001000000000001100110101111111111000000000000000000000000000001 +0 12 0001000000000001100110101111111111000000000000000000000000000001 +0 11 0001000000000001100110101111111111000000000000000000000000000001 +0 10 0001000000000001100110101111111111000000000000000000000000000001 +0 9 0001000000000001100110101111111111000000000000000000000000000001 +0 8 0001000000000001100110101111111111000000000000000000000000000001 +0 7 0001000000000001100110101111111111000000000000000000000000000001 +0 6 0001000000000001100110101111111111000000000000000000000000000001 +0 5 0001000000000001100110101111111111000000000000000000000000000001 +0 4 0001000000000001100110101111111111000000000000000000000000000001 +0 3 0001000000000001100110101111111111000000000000000000000000000001 +0 2 0001000000000001100110101111111111000000000000000000000000000001 +0 1 0001000000000001100110101111111111000000000000000000000000000001 +0 0 0001000000000001100110101111111111000000000000000000000000000001 +0 540 0001000000000001101110010000000000000000000000000000000000000001 +0 264 0001000000000011101011110000000000000000000000000000000000000001 +0 787 0001000000000001110010100000000000000000000000000000000000000001 +0 1023 0001000000000010000000001111111111000000000000000000000000000001 +0 1022 0001000000000010000000001111111111000000000000000000000000000001 +0 1021 0001000000000010000000001111111111000000000000000000000000000001 +0 1020 0001000000000010000000001111111111000000000000000000000000000001 +0 1019 0001000000000010000000001111111111000000000000000000000000000001 +0 1018 0001000000000010000000001111111111000000000000000000000000000001 +0 1017 0001000000000010000000001111111111000000000000000000000000000001 +0 1016 0001000000000010000000001111111111000000000000000000000000000001 +0 1015 0001000000000010000000001111111111000000000000000000000000000001 +0 1014 0001000000000010000000001111111111000000000000000000000000000001 +0 1013 0001000000000010000000001111111111000000000000000000000000000001 +0 1012 0001000000000010000000001111111111000000000000000000000000000001 +0 1011 0001000000000010000000001111111111000000000000000000000000000001 +0 1010 0001000000000010000000001111111111000000000000000000000000000001 +0 1009 0001000000000010000000001111111111000000000000000000000000000001 +0 1008 0001000000000010000000001111111111000000000000000000000000000001 +0 1007 0001000000000010000000001111111111000000000000000000000000000001 +0 1006 0001000000000010000000001111111111000000000000000000000000000001 +0 1005 0001000000000010000000001111111111000000000000000000000000000001 +0 1004 0001000000000010000000001111111111000000000000000000000000000001 +0 1003 0001000000000010000000001111111111000000000000000000000000000001 +0 1002 0001000000000010000000001111111111000000000000000000000000000001 +0 1001 0001000000000010000000001111111111000000000000000000000000000001 +0 1000 0001000000000010000000001111111111000000000000000000000000000001 +0 999 0001000000000010000000001111111111000000000000000000000000000001 +0 998 0001000000000010000000001111111111000000000000000000000000000001 +0 997 0001000000000010000000001111111111000000000000000000000000000001 +0 996 0001000000000010000000001111111111000000000000000000000000000001 +0 995 0001000000000010000000001111111111000000000000000000000000000001 +0 994 0001000000000010000000001111111111000000000000000000000000000001 +0 993 0001000000000010000000001111111111000000000000000000000000000001 +0 992 0001000000000010000000001111111111000000000000000000000000000001 +0 991 0001000000000010000000001111111111000000000000000000000000000001 +0 990 0001000000000010000000001111111111000000000000000000000000000001 +0 989 0001000000000010000000001111111111000000000000000000000000000001 +0 988 0001000000000010000000001111111111000000000000000000000000000001 +0 987 0001000000000010000000001111111111000000000000000000000000000001 +0 986 0001000000000010000000001111111111000000000000000000000000000001 +0 985 0001000000000010000000001111111111000000000000000000000000000001 +0 984 0001000000000010000000001111111111000000000000000000000000000001 +0 983 0001000000000010000000001111111111000000000000000000000000000001 +0 982 0001000000000010000000001111111111000000000000000000000000000001 +0 981 0001000000000010000000001111111111000000000000000000000000000001 +0 980 0001000000000010000000001111111111000000000000000000000000000001 +0 979 0001000000000010000000001111111111000000000000000000000000000001 +0 978 0001000000000010000000001111111111000000000000000000000000000001 +0 977 0001000000000010000000001111111111000000000000000000000000000001 +0 976 0001000000000010000000001111111111000000000000000000000000000001 +0 975 0001000000000010000000001111111111000000000000000000000000000001 +0 974 0001000000000010000000001111111111000000000000000000000000000001 +0 973 0001000000000010000000001111111111000000000000000000000000000001 +0 972 0001000000000010000000001111111111000000000000000000000000000001 +0 971 0001000000000010000000001111111111000000000000000000000000000001 +0 970 0001000000000010000000001111111111000000000000000000000000000001 +0 969 0001000000000010000000001111111111000000000000000000000000000001 +0 968 0001000000000010000000001111111111000000000000000000000000000001 +0 967 0001000000000010000000001111111111000000000000000000000000000001 +0 966 0001000000000010000000001111111111000000000000000000000000000001 +0 965 0001000000000010000000001111111111000000000000000000000000000001 +0 964 0001000000000010000000001111111111000000000000000000000000000001 +0 963 0001000000000010000000001111111111000000000000000000000000000001 +0 962 0001000000000010000000001111111111000000000000000000000000000001 +0 961 0001000000000010000000001111111111000000000000000000000000000001 +0 960 0001000000000010000000001111111111000000000000000000000000000001 +0 959 0001000000000010000000001111111111000000000000000000000000000001 +0 958 0001000000000010000000001111111111000000000000000000000000000001 +0 957 0001000000000010000000001111111111000000000000000000000000000001 +0 956 0001000000000010000000001111111111000000000000000000000000000001 +0 955 0001000000000010000000001111111111000000000000000000000000000001 +0 954 0001000000000010000000001111111111000000000000000000000000000001 +0 953 0001000000000010000000001111111111000000000000000000000000000001 +0 952 0001000000000010000000001111111111000000000000000000000000000001 +0 951 0001000000000010000000001111111111000000000000000000000000000001 +0 950 0001000000000010000000001111111111000000000000000000000000000001 +0 949 0001000000000010000000001111111111000000000000000000000000000001 +0 948 0001000000000010000000001111111111000000000000000000000000000001 +0 947 0001000000000010000000001111111111000000000000000000000000000001 +0 946 0001000000000010000000001111111111000000000000000000000000000001 +0 945 0001000000000010000000001111111111000000000000000000000000000001 +0 944 0001000000000010000000001111111111000000000000000000000000000001 +0 943 0001000000000010000000001111111111000000000000000000000000000001 +0 942 0001000000000010000000001111111111000000000000000000000000000001 +0 941 0001000000000010000000001111111111000000000000000000000000000001 +0 940 0001000000000010000000001111111111000000000000000000000000000001 +0 939 0001000000000010000000001111111111000000000000000000000000000001 +0 938 0001000000000010000000001111111111000000000000000000000000000001 +0 937 0001000000000010000000001111111111000000000000000000000000000001 +0 936 0001000000000010000000001111111111000000000000000000000000000001 +0 935 0001000000000010000000001111111111000000000000000000000000000001 +0 934 0001000000000010000000001111111111000000000000000000000000000001 +0 933 0001000000000010000000001111111111000000000000000000000000000001 +0 932 0001000000000010000000001111111111000000000000000000000000000001 +0 931 0001000000000010000000001111111111000000000000000000000000000001 +0 930 0001000000000010000000001111111111000000000000000000000000000001 +0 929 0001000000000010000000001111111111000000000000000000000000000001 +0 928 0001000000000010000000001111111111000000000000000000000000000001 +0 927 0001000000000010000000001111111111000000000000000000000000000001 +0 926 0001000000000010000000001111111111000000000000000000000000000001 +0 925 0001000000000010000000001111111111000000000000000000000000000001 +0 924 0001000000000010000000001111111111000000000000000000000000000001 +0 923 0001000000000010000000001111111111000000000000000000000000000001 +0 922 0001000000000010000000001111111111000000000000000000000000000001 +0 921 0001000000000010000000001111111111000000000000000000000000000001 +0 920 0001000000000010000000001111111111000000000000000000000000000001 +0 919 0001000000000010000000001111111111000000000000000000000000000001 +0 918 0001000000000010000000001111111111000000000000000000000000000001 +0 917 0001000000000010000000001111111111000000000000000000000000000001 +0 916 0001000000000010000000001111111111000000000000000000000000000001 +0 915 0001000000000010000000001111111111000000000000000000000000000001 +0 914 0001000000000010000000001111111111000000000000000000000000000001 +0 913 0001000000000010000000001111111111000000000000000000000000000001 +0 912 0001000000000010000000001111111111000000000000000000000000000001 +0 911 0001000000000010000000001111111111000000000000000000000000000001 +0 910 0001000000000010000000001111111111000000000000000000000000000001 +0 909 0001000000000010000000001111111111000000000000000000000000000001 +0 908 0001000000000010000000001111111111000000000000000000000000000001 +0 907 0001000000000010000000001111111111000000000000000000000000000001 +0 906 0001000000000010000000001111111111000000000000000000000000000001 +0 905 0001000000000010000000001111111111000000000000000000000000000001 +0 904 0001000000000010000000001111111111000000000000000000000000000001 +0 903 0001000000000010000000001111111111000000000000000000000000000001 +0 902 0001000000000010000000001111111111000000000000000000000000000001 +0 901 0001000000000010000000001111111111000000000000000000000000000001 +0 900 0001000000000010000000001111111111000000000000000000000000000001 +0 899 0001000000000010000000001111111111000000000000000000000000000001 +0 898 0001000000000010000000001111111111000000000000000000000000000001 +0 897 0001000000000010000000001111111111000000000000000000000000000001 +0 896 0001000000000010000000001111111111000000000000000000000000000001 +0 895 0001000000000010000000001111111111000000000000000000000000000001 +0 894 0001000000000010000000001111111111000000000000000000000000000001 +0 893 0001000000000010000000001111111111000000000000000000000000000001 +0 892 0001000000000010000000001111111111000000000000000000000000000001 +0 891 0001000000000010000000001111111111000000000000000000000000000001 +0 890 0001000000000010000000001111111111000000000000000000000000000001 +0 889 0001000000000010000000001111111111000000000000000000000000000001 +0 888 0001000000000010000000001111111111000000000000000000000000000001 +0 887 0001000000000010000000001111111111000000000000000000000000000001 +0 886 0001000000000010000000001111111111000000000000000000000000000001 +0 885 0001000000000010000000001111111111000000000000000000000000000001 +0 884 0001000000000010000000001111111111000000000000000000000000000001 +0 883 0001000000000010000000001111111111000000000000000000000000000001 +0 882 0001000000000010000000001111111111000000000000000000000000000001 +0 881 0001000000000010000000001111111111000000000000000000000000000001 +0 880 0001000000000010000000001111111111000000000000000000000000000001 +0 879 0001000000000010000000001111111111000000000000000000000000000001 +0 878 0001000000000010000000001111111111000000000000000000000000000001 +0 877 0001000000000010000000001111111111000000000000000000000000000001 +0 876 0001000000000010000000001111111111000000000000000000000000000001 +0 875 0001000000000010000000001111111111000000000000000000000000000001 +0 874 0001000000000010000000001111111111000000000000000000000000000001 +0 873 0001000000000010000000001111111111000000000000000000000000000001 +0 872 0001000000000010000000001111111111000000000000000000000000000001 +0 871 0001000000000010000000001111111111000000000000000000000000000001 +0 870 0001000000000010000000001111111111000000000000000000000000000001 +0 869 0001000000000010000000001111111111000000000000000000000000000001 +0 868 0001000000000010000000001111111111000000000000000000000000000001 +0 867 0001000000000010000000001111111111000000000000000000000000000001 +0 866 0001000000000010000000001111111111000000000000000000000000000001 +0 865 0001000000000010000000001111111111000000000000000000000000000001 +0 864 0001000000000010000000001111111111000000000000000000000000000001 +0 863 0001000000000010000000001111111111000000000000000000000000000001 +0 862 0001000000000010000000001111111111000000000000000000000000000001 +0 861 0001000000000010000000001111111111000000000000000000000000000001 +0 860 0001000000000010000000001111111111000000000000000000000000000001 +0 859 0001000000000010000000001111111111000000000000000000000000000001 +0 858 0001000000000010000000001111111111000000000000000000000000000001 +0 857 0001000000000010000000001111111111000000000000000000000000000001 +0 856 0001000000000010000000001111111111000000000000000000000000000001 +0 855 0001000000000010000000001111111111000000000000000000000000000001 +0 854 0001000000000010000000001111111111000000000000000000000000000001 +0 853 0001000000000010000000001111111111000000000000000000000000000001 +0 852 0001000000000010000000001111111111000000000000000000000000000001 +0 851 0001000000000010000000001111111111000000000000000000000000000001 +0 850 0001000000000010000000001111111111000000000000000000000000000001 +0 849 0001000000000010000000001111111111000000000000000000000000000001 +0 848 0001000000000010000000001111111111000000000000000000000000000001 +0 847 0001000000000010000000001111111111000000000000000000000000000001 +0 846 0001000000000010000000001111111111000000000000000000000000000001 +0 845 0001000000000010000000001111111111000000000000000000000000000001 +0 844 0001000000000010000000001111111111000000000000000000000000000001 +0 843 0001000000000010000000001111111111000000000000000000000000000001 +0 842 0001000000000010000000001111111111000000000000000000000000000001 +0 841 0001000000000010000000001111111111000000000000000000000000000001 +0 840 0001000000000010000000001111111111000000000000000000000000000001 +0 839 0001000000000010000000001111111111000000000000000000000000000001 +0 838 0001000000000010000000001111111111000000000000000000000000000001 +0 837 0001000000000010000000001111111111000000000000000000000000000001 +0 836 0001000000000010000000001111111111000000000000000000000000000001 +0 835 0001000000000010000000001111111111000000000000000000000000000001 +0 834 0001000000000010000000001111111111000000000000000000000000000001 +0 833 0001000000000010000000001111111111000000000000000000000000000001 +0 832 0001000000000010000000001111111111000000000000000000000000000001 +0 831 0001000000000010000000001111111111000000000000000000000000000001 +0 830 0001000000000010000000001111111111000000000000000000000000000001 +0 829 0001000000000010000000001111111111000000000000000000000000000001 +0 828 0001000000000010000000001111111111000000000000000000000000000001 +0 827 0001000000000010000000001111111111000000000000000000000000000001 +0 826 0001000000000010000000001111111111000000000000000000000000000001 +0 825 0001000000000010000000001111111111000000000000000000000000000001 +0 824 0001000000000010000000001111111111000000000000000000000000000001 +0 823 0001000000000010000000001111111111000000000000000000000000000001 +0 822 0001000000000010000000001111111111000000000000000000000000000001 +0 821 0001000000000010000000001111111111000000000000000000000000000001 +0 820 0001000000000010000000001111111111000000000000000000000000000001 +0 819 0001000000000010000000001111111111000000000000000000000000000001 +0 818 0001000000000010000000001111111111000000000000000000000000000001 +0 817 0001000000000010000000001111111111000000000000000000000000000001 +0 816 0001000000000010000000001111111111000000000000000000000000000001 +0 815 0001000000000010000000001111111111000000000000000000000000000001 +0 814 0001000000000010000000001111111111000000000000000000000000000001 +0 813 0001000000000010000000001111111111000000000000000000000000000001 +0 812 0001000000000010000000001111111111000000000000000000000000000001 +0 811 0001000000000010000000001111111111000000000000000000000000000001 +0 810 0001000000000010000000001111111111000000000000000000000000000001 +0 809 0001000000000010000000001111111111000000000000000000000000000001 +0 808 0001000000000010000000001111111111000000000000000000000000000001 +0 807 0001000000000010000000001111111111000000000000000000000000000001 +0 806 0001000000000010000000001111111111000000000000000000000000000001 +0 805 0001000000000010000000001111111111000000000000000000000000000001 +0 804 0001000000000010000000001111111111000000000000000000000000000001 +0 803 0001000000000010000000001111111111000000000000000000000000000001 +0 802 0001000000000010000000001111111111000000000000000000000000000001 +0 801 0001000000000010000000001111111111000000000000000000000000000001 +0 800 0001000000000010000000001111111111000000000000000000000000000001 +0 799 0001000000000010000000001111111111000000000000000000000000000001 +0 798 0001000000000010000000001111111111000000000000000000000000000001 +0 797 0001000000000010000000001111111111000000000000000000000000000001 +0 796 0001000000000010000000001111111111000000000000000000000000000001 +0 795 0001000000000010000000001111111111000000000000000000000000000001 +0 794 0001000000000010000000001111111111000000000000000000000000000001 +0 793 0001000000000010000000001111111111000000000000000000000000000001 +0 792 0001000000000010000000001111111111000000000000000000000000000001 +0 791 0001000000000010000000001111111111000000000000000000000000000001 +0 790 0001000000000010000000001111111111000000000000000000000000000001 +0 789 0001000000000010000000001111111111000000000000000000000000000001 +0 788 0001000000000010000000001111111111000000000000000000000000000001 +0 787 0001000000000010000000001111111111000000000000000000000000000001 +0 786 0001000000000010000000001111111111000000000000000000000000000001 +0 785 0001000000000010000000001111111111000000000000000000000000000001 +0 784 0001000000000010000000001111111111000000000000000000000000000001 +0 783 0001000000000010000000001111111111000000000000000000000000000001 +0 782 0001000000000010000000001111111111000000000000000000000000000001 +0 781 0001000000000010000000001111111111000000000000000000000000000001 +0 780 0001000000000010000000001111111111000000000000000000000000000001 +0 779 0001000000000010000000001111111111000000000000000000000000000001 +0 778 0001000000000010000000001111111111000000000000000000000000000001 +0 777 0001000000000010000000001111111111000000000000000000000000000001 +0 776 0001000000000010000000001111111111000000000000000000000000000001 +0 775 0001000000000010000000001111111111000000000000000000000000000001 +0 774 0001000000000010000000001111111111000000000000000000000000000001 +0 773 0001000000000010000000001111111111000000000000000000000000000001 +0 772 0001000000000010000000001111111111000000000000000000000000000001 +0 771 0001000000000010000000001111111111000000000000000000000000000001 +0 770 0001000000000010000000001111111111000000000000000000000000000001 +0 769 0001000000000010000000001111111111000000000000000000000000000001 +0 768 0001000000000010000000001111111111000000000000000000000000000001 +0 767 0001000000000010000000001111111111000000000000000000000000000001 +0 766 0001000000000010000000001111111111000000000000000000000000000001 +0 765 0001000000000010000000001111111111000000000000000000000000000001 +0 764 0001000000000010000000001111111111000000000000000000000000000001 +0 763 0001000000000010000000001111111111000000000000000000000000000001 +0 762 0001000000000010000000001111111111000000000000000000000000000001 +0 761 0001000000000010000000001111111111000000000000000000000000000001 +0 760 0001000000000010000000001111111111000000000000000000000000000001 +0 759 0001000000000010000000001111111111000000000000000000000000000001 +0 758 0001000000000010000000001111111111000000000000000000000000000001 +0 757 0001000000000010000000001111111111000000000000000000000000000001 +0 756 0001000000000010000000001111111111000000000000000000000000000001 +0 755 0001000000000010000000001111111111000000000000000000000000000001 +0 754 0001000000000010000000001111111111000000000000000000000000000001 +0 753 0001000000000010000000001111111111000000000000000000000000000001 +0 752 0001000000000010000000001111111111000000000000000000000000000001 +0 751 0001000000000010000000001111111111000000000000000000000000000001 +0 750 0001000000000010000000001111111111000000000000000000000000000001 +0 749 0001000000000010000000001111111111000000000000000000000000000001 +0 748 0001000000000010000000001111111111000000000000000000000000000001 +0 747 0001000000000010000000001111111111000000000000000000000000000001 +0 746 0001000000000010000000001111111111000000000000000000000000000001 +0 745 0001000000000010000000001111111111000000000000000000000000000001 +0 744 0001000000000010000000001111111111000000000000000000000000000001 +0 743 0001000000000010000000001111111111000000000000000000000000000001 +0 742 0001000000000010000000001111111111000000000000000000000000000001 +0 741 0001000000000010000000001111111111000000000000000000000000000001 +0 740 0001000000000010000000001111111111000000000000000000000000000001 +0 739 0001000000000010000000001111111111000000000000000000000000000001 +0 738 0001000000000010000000001111111111000000000000000000000000000001 +0 737 0001000000000010000000001111111111000000000000000000000000000001 +0 736 0001000000000010000000001111111111000000000000000000000000000001 +0 735 0001000000000010000000001111111111000000000000000000000000000001 +0 734 0001000000000010000000001111111111000000000000000000000000000001 +0 733 0001000000000010000000001111111111000000000000000000000000000001 +0 732 0001000000000010000000001111111111000000000000000000000000000001 +0 731 0001000000000010000000001111111111000000000000000000000000000001 +0 730 0001000000000010000000001111111111000000000000000000000000000001 +0 729 0001000000000010000000001111111111000000000000000000000000000001 +0 728 0001000000000010000000001111111111000000000000000000000000000001 +0 727 0001000000000010000000001111111111000000000000000000000000000001 +0 726 0001000000000010000000001111111111000000000000000000000000000001 +0 725 0001000000000010000000001111111111000000000000000000000000000001 +0 724 0001000000000010000000001111111111000000000000000000000000000001 +0 723 0001000000000010000000001111111111000000000000000000000000000001 +0 722 0001000000000010000000001111111111000000000000000000000000000001 +0 721 0001000000000010000000001111111111000000000000000000000000000001 +0 720 0001000000000010000000001111111111000000000000000000000000000001 +0 719 0001000000000010000000001111111111000000000000000000000000000001 +0 718 0001000000000010000000001111111111000000000000000000000000000001 +0 717 0001000000000010000000001111111111000000000000000000000000000001 +0 716 0001000000000010000000001111111111000000000000000000000000000001 +0 715 0001000000000010000000001111111111000000000000000000000000000001 +0 714 0001000000000010000000001111111111000000000000000000000000000001 +0 713 0001000000000010000000001111111111000000000000000000000000000001 +0 712 0001000000000010000000001111111111000000000000000000000000000001 +0 711 0001000000000010000000001111111111000000000000000000000000000001 +0 710 0001000000000010000000001111111111000000000000000000000000000001 +0 709 0001000000000010000000001111111111000000000000000000000000000001 +0 708 0001000000000010000000001111111111000000000000000000000000000001 +0 707 0001000000000010000000001111111111000000000000000000000000000001 +0 706 0001000000000010000000001111111111000000000000000000000000000001 +0 705 0001000000000010000000001111111111000000000000000000000000000001 +0 704 0001000000000010000000001111111111000000000000000000000000000001 +0 703 0001000000000010000000001111111111000000000000000000000000000001 +0 702 0001000000000010000000001111111111000000000000000000000000000001 +0 701 0001000000000010000000001111111111000000000000000000000000000001 +0 700 0001000000000010000000001111111111000000000000000000000000000001 +0 699 0001000000000010000000001111111111000000000000000000000000000001 +0 698 0001000000000010000000001111111111000000000000000000000000000001 +0 697 0001000000000010000000001111111111000000000000000000000000000001 +0 696 0001000000000010000000001111111111000000000000000000000000000001 +0 695 0001000000000010000000001111111111000000000000000000000000000001 +0 694 0001000000000010000000001111111111000000000000000000000000000001 +0 693 0001000000000010000000001111111111000000000000000000000000000001 +0 692 0001000000000010000000001111111111000000000000000000000000000001 +0 691 0001000000000010000000001111111111000000000000000000000000000001 +0 690 0001000000000010000000001111111111000000000000000000000000000001 +0 689 0001000000000010000000001111111111000000000000000000000000000001 +0 688 0001000000000010000000001111111111000000000000000000000000000001 +0 687 0001000000000010000000001111111111000000000000000000000000000001 +0 686 0001000000000010000000001111111111000000000000000000000000000001 +0 685 0001000000000010000000001111111111000000000000000000000000000001 +0 684 0001000000000010000000001111111111000000000000000000000000000001 +0 683 0001000000000010000000001111111111000000000000000000000000000001 +0 682 0001000000000010000000001111111111000000000000000000000000000001 +0 681 0001000000000010000000001111111111000000000000000000000000000001 +0 680 0001000000000010000000001111111111000000000000000000000000000001 +0 679 0001000000000010000000001111111111000000000000000000000000000001 +0 678 0001000000000010000000001111111111000000000000000000000000000001 +0 677 0001000000000010000000001111111111000000000000000000000000000001 +0 676 0001000000000010000000001111111111000000000000000000000000000001 +0 675 0001000000000010000000001111111111000000000000000000000000000001 +0 674 0001000000000010000000001111111111000000000000000000000000000001 +0 673 0001000000000010000000001111111111000000000000000000000000000001 +0 672 0001000000000010000000001111111111000000000000000000000000000001 +0 671 0001000000000010000000001111111111000000000000000000000000000001 +0 670 0001000000000010000000001111111111000000000000000000000000000001 +0 669 0001000000000010000000001111111111000000000000000000000000000001 +0 668 0001000000000010000000001111111111000000000000000000000000000001 +0 667 0001000000000010000000001111111111000000000000000000000000000001 +0 666 0001000000000010000000001111111111000000000000000000000000000001 +0 665 0001000000000010000000001111111111000000000000000000000000000001 +0 664 0001000000000010000000001111111111000000000000000000000000000001 +0 663 0001000000000010000000001111111111000000000000000000000000000001 +0 662 0001000000000010000000001111111111000000000000000000000000000001 +0 661 0001000000000010000000001111111111000000000000000000000000000001 +0 660 0001000000000010000000001111111111000000000000000000000000000001 +0 659 0001000000000010000000001111111111000000000000000000000000000001 +0 658 0001000000000010000000001111111111000000000000000000000000000001 +0 657 0001000000000010000000001111111111000000000000000000000000000001 +0 656 0001000000000010000000001111111111000000000000000000000000000001 +0 655 0001000000000010000000001111111111000000000000000000000000000001 +0 654 0001000000000010000000001111111111000000000000000000000000000001 +0 653 0001000000000010000000001111111111000000000000000000000000000001 +0 652 0001000000000010000000001111111111000000000000000000000000000001 +0 651 0001000000000010000000001111111111000000000000000000000000000001 +0 650 0001000000000010000000001111111111000000000000000000000000000001 +0 649 0001000000000010000000001111111111000000000000000000000000000001 +0 648 0001000000000010000000001111111111000000000000000000000000000001 +0 647 0001000000000010000000001111111111000000000000000000000000000001 +0 646 0001000000000010000000001111111111000000000000000000000000000001 +0 645 0001000000000010000000001111111111000000000000000000000000000001 +0 644 0001000000000010000000001111111111000000000000000000000000000001 +0 643 0001000000000010000000001111111111000000000000000000000000000001 +0 642 0001000000000010000000001111111111000000000000000000000000000001 +0 641 0001000000000010000000001111111111000000000000000000000000000001 +0 640 0001000000000010000000001111111111000000000000000000000000000001 +0 639 0001000000000010000000001111111111000000000000000000000000000001 +0 638 0001000000000010000000001111111111000000000000000000000000000001 +0 637 0001000000000010000000001111111111000000000000000000000000000001 +0 636 0001000000000010000000001111111111000000000000000000000000000001 +0 635 0001000000000010000000001111111111000000000000000000000000000001 +0 634 0001000000000010000000001111111111000000000000000000000000000001 +0 633 0001000000000010000000001111111111000000000000000000000000000001 +0 632 0001000000000010000000001111111111000000000000000000000000000001 +0 631 0001000000000010000000001111111111000000000000000000000000000001 +0 630 0001000000000010000000001111111111000000000000000000000000000001 +0 629 0001000000000010000000001111111111000000000000000000000000000001 +0 628 0001000000000010000000001111111111000000000000000000000000000001 +0 627 0001000000000010000000001111111111000000000000000000000000000001 +0 626 0001000000000010000000001111111111000000000000000000000000000001 +0 625 0001000000000010000000001111111111000000000000000000000000000001 +0 624 0001000000000010000000001111111111000000000000000000000000000001 +0 623 0001000000000010000000001111111111000000000000000000000000000001 +0 622 0001000000000010000000001111111111000000000000000000000000000001 +0 621 0001000000000010000000001111111111000000000000000000000000000001 +0 620 0001000000000010000000001111111111000000000000000000000000000001 +0 619 0001000000000010000000001111111111000000000000000000000000000001 +0 618 0001000000000010000000001111111111000000000000000000000000000001 +0 617 0001000000000010000000001111111111000000000000000000000000000001 +0 616 0001000000000010000000001111111111000000000000000000000000000001 +0 615 0001000000000010000000001111111111000000000000000000000000000001 +0 614 0001000000000010000000001111111111000000000000000000000000000001 +0 613 0001000000000010000000001111111111000000000000000000000000000001 +0 612 0001000000000010000000001111111111000000000000000000000000000001 +0 611 0001000000000010000000001111111111000000000000000000000000000001 +0 610 0001000000000010000000001111111111000000000000000000000000000001 +0 609 0001000000000010000000001111111111000000000000000000000000000001 +0 608 0001000000000010000000001111111111000000000000000000000000000001 +0 607 0001000000000010000000001111111111000000000000000000000000000001 +0 606 0001000000000010000000001111111111000000000000000000000000000001 +0 605 0001000000000010000000001111111111000000000000000000000000000001 +0 604 0001000000000010000000001111111111000000000000000000000000000001 +0 603 0001000000000010000000001111111111000000000000000000000000000001 +0 602 0001000000000010000000001111111111000000000000000000000000000001 +0 601 0001000000000010000000001111111111000000000000000000000000000001 +0 600 0001000000000010000000001111111111000000000000000000000000000001 +0 599 0001000000000010000000001111111111000000000000000000000000000001 +0 598 0001000000000010000000001111111111000000000000000000000000000001 +0 597 0001000000000010000000001111111111000000000000000000000000000001 +0 596 0001000000000010000000001111111111000000000000000000000000000001 +0 595 0001000000000010000000001111111111000000000000000000000000000001 +0 594 0001000000000010000000001111111111000000000000000000000000000001 +0 593 0001000000000010000000001111111111000000000000000000000000000001 +0 592 0001000000000010000000001111111111000000000000000000000000000001 +0 591 0001000000000010000000001111111111000000000000000000000000000001 +0 590 0001000000000010000000001111111111000000000000000000000000000001 +0 589 0001000000000010000000001111111111000000000000000000000000000001 +0 588 0001000000000010000000001111111111000000000000000000000000000001 +0 587 0001000000000010000000001111111111000000000000000000000000000001 +0 586 0001000000000010000000001111111111000000000000000000000000000001 +0 585 0001000000000010000000001111111111000000000000000000000000000001 +0 584 0001000000000010000000001111111111000000000000000000000000000001 +0 583 0001000000000010000000001111111111000000000000000000000000000001 +0 582 0001000000000010000000001111111111000000000000000000000000000001 +0 581 0001000000000010000000001111111111000000000000000000000000000001 +0 580 0001000000000010000000001111111111000000000000000000000000000001 +0 579 0001000000000010000000001111111111000000000000000000000000000001 +0 578 0001000000000010000000001111111111000000000000000000000000000001 +0 577 0001000000000010000000001111111111000000000000000000000000000001 +0 576 0001000000000010000000001111111111000000000000000000000000000001 +0 575 0001000000000010000000001111111111000000000000000000000000000001 +0 574 0001000000000010000000001111111111000000000000000000000000000001 +0 573 0001000000000010000000001111111111000000000000000000000000000001 +0 572 0001000000000010000000001111111111000000000000000000000000000001 +0 571 0001000000000010000000001111111111000000000000000000000000000001 +0 570 0001000000000010000000001111111111000000000000000000000000000001 +0 569 0001000000000010000000001111111111000000000000000000000000000001 +0 568 0001000000000010000000001111111111000000000000000000000000000001 +0 567 0001000000000010000000001111111111000000000000000000000000000001 +0 566 0001000000000010000000001111111111000000000000000000000000000001 +0 565 0001000000000010000000001111111111000000000000000000000000000001 +0 564 0001000000000010000000001111111111000000000000000000000000000001 +0 563 0001000000000010000000001111111111000000000000000000000000000001 +0 562 0001000000000010000000001111111111000000000000000000000000000001 +0 561 0001000000000010000000001111111111000000000000000000000000000001 +0 560 0001000000000010000000001111111111000000000000000000000000000001 +0 559 0001000000000010000000001111111111000000000000000000000000000001 +0 558 0001000000000010000000001111111111000000000000000000000000000001 +0 557 0001000000000010000000001111111111000000000000000000000000000001 +0 556 0001000000000010000000001111111111000000000000000000000000000001 +0 555 0001000000000010000000001111111111000000000000000000000000000001 +0 554 0001000000000010000000001111111111000000000000000000000000000001 +0 553 0001000000000010000000001111111111000000000000000000000000000001 +0 552 0001000000000010000000001111111111000000000000000000000000000001 +0 551 0001000000000010000000001111111111000000000000000000000000000001 +0 550 0001000000000010000000001111111111000000000000000000000000000001 +0 549 0001000000000010000000001111111111000000000000000000000000000001 +0 548 0001000000000010000000001111111111000000000000000000000000000001 +0 547 0001000000000010000000001111111111000000000000000000000000000001 +0 546 0001000000000010000000001111111111000000000000000000000000000001 +0 545 0001000000000010000000001111111111000000000000000000000000000001 +0 544 0001000000000010000000001111111111000000000000000000000000000001 +0 543 0001000000000010000000001111111111000000000000000000000000000001 +0 542 0001000000000010000000001111111111000000000000000000000000000001 +0 541 0001000000000010000000001111111111000000000000000000000000000001 +0 540 0001000000000010000000001111111111000000000000000000000000000001 +0 539 0001000000000010000000001111111111000000000000000000000000000001 +0 538 0001000000000010000000001111111111000000000000000000000000000001 +0 537 0001000000000010000000001111111111000000000000000000000000000001 +0 536 0001000000000010000000001111111111000000000000000000000000000001 +0 535 0001000000000010000000001111111111000000000000000000000000000001 +0 534 0001000000000010000000001111111111000000000000000000000000000001 +0 533 0001000000000010000000001111111111000000000000000000000000000001 +0 532 0001000000000010000000001111111111000000000000000000000000000001 +0 531 0001000000000010000000001111111111000000000000000000000000000001 +0 530 0001000000000010000000001111111111000000000000000000000000000001 +0 529 0001000000000010000000001111111111000000000000000000000000000001 +0 528 0001000000000010000000001111111111000000000000000000000000000001 +0 527 0001000000000010000000001111111111000000000000000000000000000001 +0 526 0001000000000010000000001111111111000000000000000000000000000001 +0 525 0001000000000010000000001111111111000000000000000000000000000001 +0 524 0001000000000010000000001111111111000000000000000000000000000001 +0 523 0001000000000010000000001111111111000000000000000000000000000001 +0 522 0001000000000010000000001111111111000000000000000000000000000001 +0 521 0001000000000010000000001111111111000000000000000000000000000001 +0 520 0001000000000010000000001111111111000000000000000000000000000001 +0 519 0001000000000010000000001111111111000000000000000000000000000001 +0 518 0001000000000010000000001111111111000000000000000000000000000001 +0 517 0001000000000010000000001111111111000000000000000000000000000001 +0 516 0001000000000010000000001111111111000000000000000000000000000001 +0 515 0001000000000010000000001111111111000000000000000000000000000001 +0 514 0001000000000010000000001111111111000000000000000000000000000001 +0 513 0001000000000010000000001111111111000000000000000000000000000001 +0 512 0001000000000010000000001111111111000000000000000000000000000001 +0 511 0001000000000010000000001111111111000000000000000000000000000001 +0 510 0001000000000010000000001111111111000000000000000000000000000001 +0 509 0001000000000010000000001111111111000000000000000000000000000001 +0 508 0001000000000010000000001111111111000000000000000000000000000001 +0 507 0001000000000010000000001111111111000000000000000000000000000001 +0 506 0001000000000010000000001111111111000000000000000000000000000001 +0 505 0001000000000010000000001111111111000000000000000000000000000001 +0 504 0001000000000010000000001111111111000000000000000000000000000001 +0 503 0001000000000010000000001111111111000000000000000000000000000001 +0 502 0001000000000010000000001111111111000000000000000000000000000001 +0 501 0001000000000010000000001111111111000000000000000000000000000001 +0 500 0001000000000010000000001111111111000000000000000000000000000001 +0 499 0001000000000010000000001111111111000000000000000000000000000001 +0 498 0001000000000010000000001111111111000000000000000000000000000001 +0 497 0001000000000010000000001111111111000000000000000000000000000001 +0 496 0001000000000010000000001111111111000000000000000000000000000001 +0 495 0001000000000010000000001111111111000000000000000000000000000001 +0 494 0001000000000010000000001111111111000000000000000000000000000001 +0 493 0001000000000010000000001111111111000000000000000000000000000001 +0 492 0001000000000010000000001111111111000000000000000000000000000001 +0 491 0001000000000010000000001111111111000000000000000000000000000001 +0 490 0001000000000010000000001111111111000000000000000000000000000001 +0 489 0001000000000010000000001111111111000000000000000000000000000001 +0 488 0001000000000010000000001111111111000000000000000000000000000001 +0 487 0001000000000010000000001111111111000000000000000000000000000001 +0 486 0001000000000010000000001111111111000000000000000000000000000001 +0 485 0001000000000010000000001111111111000000000000000000000000000001 +0 484 0001000000000010000000001111111111000000000000000000000000000001 +0 483 0001000000000010000000001111111111000000000000000000000000000001 +0 482 0001000000000010000000001111111111000000000000000000000000000001 +0 481 0001000000000010000000001111111111000000000000000000000000000001 +0 480 0001000000000010000000001111111111000000000000000000000000000001 +0 479 0001000000000010000000001111111111000000000000000000000000000001 +0 478 0001000000000010000000001111111111000000000000000000000000000001 +0 477 0001000000000010000000001111111111000000000000000000000000000001 +0 476 0001000000000010000000001111111111000000000000000000000000000001 +0 475 0001000000000010000000001111111111000000000000000000000000000001 +0 474 0001000000000010000000001111111111000000000000000000000000000001 +0 473 0001000000000010000000001111111111000000000000000000000000000001 +0 472 0001000000000010000000001111111111000000000000000000000000000001 +0 471 0001000000000010000000001111111111000000000000000000000000000001 +0 470 0001000000000010000000001111111111000000000000000000000000000001 +0 469 0001000000000010000000001111111111000000000000000000000000000001 +0 468 0001000000000010000000001111111111000000000000000000000000000001 +0 467 0001000000000010000000001111111111000000000000000000000000000001 +0 466 0001000000000010000000001111111111000000000000000000000000000001 +0 465 0001000000000010000000001111111111000000000000000000000000000001 +0 464 0001000000000010000000001111111111000000000000000000000000000001 +0 463 0001000000000010000000001111111111000000000000000000000000000001 +0 462 0001000000000010000000001111111111000000000000000000000000000001 +0 461 0001000000000010000000001111111111000000000000000000000000000001 +0 460 0001000000000010000000001111111111000000000000000000000000000001 +0 459 0001000000000010000000001111111111000000000000000000000000000001 +0 458 0001000000000010000000001111111111000000000000000000000000000001 +0 457 0001000000000010000000001111111111000000000000000000000000000001 +0 456 0001000000000010000000001111111111000000000000000000000000000001 +0 455 0001000000000010000000001111111111000000000000000000000000000001 +0 454 0001000000000010000000001111111111000000000000000000000000000001 +0 453 0001000000000010000000001111111111000000000000000000000000000001 +0 452 0001000000000010000000001111111111000000000000000000000000000001 +0 451 0001000000000010000000001111111111000000000000000000000000000001 +0 450 0001000000000010000000001111111111000000000000000000000000000001 +0 449 0001000000000010000000001111111111000000000000000000000000000001 +0 448 0001000000000010000000001111111111000000000000000000000000000001 +0 447 0001000000000010000000001111111111000000000000000000000000000001 +0 446 0001000000000010000000001111111111000000000000000000000000000001 +0 445 0001000000000010000000001111111111000000000000000000000000000001 +0 444 0001000000000010000000001111111111000000000000000000000000000001 +0 443 0001000000000010000000001111111111000000000000000000000000000001 +0 442 0001000000000010000000001111111111000000000000000000000000000001 +0 441 0001000000000010000000001111111111000000000000000000000000000001 +0 440 0001000000000010000000001111111111000000000000000000000000000001 +0 439 0001000000000010000000001111111111000000000000000000000000000001 +0 438 0001000000000010000000001111111111000000000000000000000000000001 +0 437 0001000000000010000000001111111111000000000000000000000000000001 +0 436 0001000000000010000000001111111111000000000000000000000000000001 +0 435 0001000000000010000000001111111111000000000000000000000000000001 +0 434 0001000000000010000000001111111111000000000000000000000000000001 +0 433 0001000000000010000000001111111111000000000000000000000000000001 +0 432 0001000000000010000000001111111111000000000000000000000000000001 +0 431 0001000000000010000000001111111111000000000000000000000000000001 +0 430 0001000000000010000000001111111111000000000000000000000000000001 +0 429 0001000000000010000000001111111111000000000000000000000000000001 +0 428 0001000000000010000000001111111111000000000000000000000000000001 +0 427 0001000000000010000000001111111111000000000000000000000000000001 +0 426 0001000000000010000000001111111111000000000000000000000000000001 +0 425 0001000000000010000000001111111111000000000000000000000000000001 +0 424 0001000000000010000000001111111111000000000000000000000000000001 +0 423 0001000000000010000000001111111111000000000000000000000000000001 +0 422 0001000000000010000000001111111111000000000000000000000000000001 +0 421 0001000000000010000000001111111111000000000000000000000000000001 +0 420 0001000000000010000000001111111111000000000000000000000000000001 +0 419 0001000000000010000000001111111111000000000000000000000000000001 +0 418 0001000000000010000000001111111111000000000000000000000000000001 +0 417 0001000000000010000000001111111111000000000000000000000000000001 +0 416 0001000000000010000000001111111111000000000000000000000000000001 +0 415 0001000000000010000000001111111111000000000000000000000000000001 +0 414 0001000000000010000000001111111111000000000000000000000000000001 +0 413 0001000000000010000000001111111111000000000000000000000000000001 +0 412 0001000000000010000000001111111111000000000000000000000000000001 +0 411 0001000000000010000000001111111111000000000000000000000000000001 +0 410 0001000000000010000000001111111111000000000000000000000000000001 +0 409 0001000000000010000000001111111111000000000000000000000000000001 +0 408 0001000000000010000000001111111111000000000000000000000000000001 +0 407 0001000000000010000000001111111111000000000000000000000000000001 +0 406 0001000000000010000000001111111111000000000000000000000000000001 +0 405 0001000000000010000000001111111111000000000000000000000000000001 +0 404 0001000000000010000000001111111111000000000000000000000000000001 +0 403 0001000000000010000000001111111111000000000000000000000000000001 +0 402 0001000000000010000000001111111111000000000000000000000000000001 +0 401 0001000000000010000000001111111111000000000000000000000000000001 +0 400 0001000000000010000000001111111111000000000000000000000000000001 +0 399 0001000000000010000000001111111111000000000000000000000000000001 +0 398 0001000000000010000000001111111111000000000000000000000000000001 +0 397 0001000000000010000000001111111111000000000000000000000000000001 +0 396 0001000000000010000000001111111111000000000000000000000000000001 +0 395 0001000000000010000000001111111111000000000000000000000000000001 +0 394 0001000000000010000000001111111111000000000000000000000000000001 +0 393 0001000000000010000000001111111111000000000000000000000000000001 +0 392 0001000000000010000000001111111111000000000000000000000000000001 +0 391 0001000000000010000000001111111111000000000000000000000000000001 +0 390 0001000000000010000000001111111111000000000000000000000000000001 +0 389 0001000000000010000000001111111111000000000000000000000000000001 +0 388 0001000000000010000000001111111111000000000000000000000000000001 +0 387 0001000000000010000000001111111111000000000000000000000000000001 +0 386 0001000000000010000000001111111111000000000000000000000000000001 +0 385 0001000000000010000000001111111111000000000000000000000000000001 +0 384 0001000000000010000000001111111111000000000000000000000000000001 +0 383 0001000000000010000000001111111111000000000000000000000000000001 +0 382 0001000000000010000000001111111111000000000000000000000000000001 +0 381 0001000000000010000000001111111111000000000000000000000000000001 +0 380 0001000000000010000000001111111111000000000000000000000000000001 +0 379 0001000000000010000000001111111111000000000000000000000000000001 +0 378 0001000000000010000000001111111111000000000000000000000000000001 +0 377 0001000000000010000000001111111111000000000000000000000000000001 +0 376 0001000000000010000000001111111111000000000000000000000000000001 +0 375 0001000000000010000000001111111111000000000000000000000000000001 +0 374 0001000000000010000000001111111111000000000000000000000000000001 +0 373 0001000000000010000000001111111111000000000000000000000000000001 +0 372 0001000000000010000000001111111111000000000000000000000000000001 +0 371 0001000000000010000000001111111111000000000000000000000000000001 +0 370 0001000000000010000000001111111111000000000000000000000000000001 +0 369 0001000000000010000000001111111111000000000000000000000000000001 +0 368 0001000000000010000000001111111111000000000000000000000000000001 +0 367 0001000000000010000000001111111111000000000000000000000000000001 +0 366 0001000000000010000000001111111111000000000000000000000000000001 +0 365 0001000000000010000000001111111111000000000000000000000000000001 +0 364 0001000000000010000000001111111111000000000000000000000000000001 +0 363 0001000000000010000000001111111111000000000000000000000000000001 +0 362 0001000000000010000000001111111111000000000000000000000000000001 +0 361 0001000000000010000000001111111111000000000000000000000000000001 +0 360 0001000000000010000000001111111111000000000000000000000000000001 +0 359 0001000000000010000000001111111111000000000000000000000000000001 +0 358 0001000000000010000000001111111111000000000000000000000000000001 +0 357 0001000000000010000000001111111111000000000000000000000000000001 +0 356 0001000000000010000000001111111111000000000000000000000000000001 +0 355 0001000000000010000000001111111111000000000000000000000000000001 +0 354 0001000000000010000000001111111111000000000000000000000000000001 +0 353 0001000000000010000000001111111111000000000000000000000000000001 +0 352 0001000000000010000000001111111111000000000000000000000000000001 +0 351 0001000000000010000000001111111111000000000000000000000000000001 +0 350 0001000000000010000000001111111111000000000000000000000000000001 +0 349 0001000000000010000000001111111111000000000000000000000000000001 +0 348 0001000000000010000000001111111111000000000000000000000000000001 +0 347 0001000000000010000000001111111111000000000000000000000000000001 +0 346 0001000000000010000000001111111111000000000000000000000000000001 +0 345 0001000000000010000000001111111111000000000000000000000000000001 +0 344 0001000000000010000000001111111111000000000000000000000000000001 +0 343 0001000000000010000000001111111111000000000000000000000000000001 +0 342 0001000000000010000000001111111111000000000000000000000000000001 +0 341 0001000000000010000000001111111111000000000000000000000000000001 +0 340 0001000000000010000000001111111111000000000000000000000000000001 +0 339 0001000000000010000000001111111111000000000000000000000000000001 +0 338 0001000000000010000000001111111111000000000000000000000000000001 +0 337 0001000000000010000000001111111111000000000000000000000000000001 +0 336 0001000000000010000000001111111111000000000000000000000000000001 +0 335 0001000000000010000000001111111111000000000000000000000000000001 +0 334 0001000000000010000000001111111111000000000000000000000000000001 +0 333 0001000000000010000000001111111111000000000000000000000000000001 +0 332 0001000000000010000000001111111111000000000000000000000000000001 +0 331 0001000000000010000000001111111111000000000000000000000000000001 +0 330 0001000000000010000000001111111111000000000000000000000000000001 +0 329 0001000000000010000000001111111111000000000000000000000000000001 +0 328 0001000000000010000000001111111111000000000000000000000000000001 +0 327 0001000000000010000000001111111111000000000000000000000000000001 +0 326 0001000000000010000000001111111111000000000000000000000000000001 +0 325 0001000000000010000000001111111111000000000000000000000000000001 +0 324 0001000000000010000000001111111111000000000000000000000000000001 +0 323 0001000000000010000000001111111111000000000000000000000000000001 +0 322 0001000000000010000000001111111111000000000000000000000000000001 +0 321 0001000000000010000000001111111111000000000000000000000000000001 +0 320 0001000000000010000000001111111111000000000000000000000000000001 +0 319 0001000000000010000000001111111111000000000000000000000000000001 +0 318 0001000000000010000000001111111111000000000000000000000000000001 +0 317 0001000000000010000000001111111111000000000000000000000000000001 +0 316 0001000000000010000000001111111111000000000000000000000000000001 +0 315 0001000000000010000000001111111111000000000000000000000000000001 +0 314 0001000000000010000000001111111111000000000000000000000000000001 +0 313 0001000000000010000000001111111111000000000000000000000000000001 +0 312 0001000000000010000000001111111111000000000000000000000000000001 +0 311 0001000000000010000000001111111111000000000000000000000000000001 +0 310 0001000000000010000000001111111111000000000000000000000000000001 +0 309 0001000000000010000000001111111111000000000000000000000000000001 +0 308 0001000000000010000000001111111111000000000000000000000000000001 +0 307 0001000000000010000000001111111111000000000000000000000000000001 +0 306 0001000000000010000000001111111111000000000000000000000000000001 +0 305 0001000000000010000000001111111111000000000000000000000000000001 +0 304 0001000000000010000000001111111111000000000000000000000000000001 +0 303 0001000000000010000000001111111111000000000000000000000000000001 +0 302 0001000000000010000000001111111111000000000000000000000000000001 +0 301 0001000000000010000000001111111111000000000000000000000000000001 +0 300 0001000000000010000000001111111111000000000000000000000000000001 +0 299 0001000000000010000000001111111111000000000000000000000000000001 +0 298 0001000000000010000000001111111111000000000000000000000000000001 +0 297 0001000000000010000000001111111111000000000000000000000000000001 +0 296 0001000000000010000000001111111111000000000000000000000000000001 +0 295 0001000000000010000000001111111111000000000000000000000000000001 +0 294 0001000000000010000000001111111111000000000000000000000000000001 +0 293 0001000000000010000000001111111111000000000000000000000000000001 +0 292 0001000000000010000000001111111111000000000000000000000000000001 +0 291 0001000000000010000000001111111111000000000000000000000000000001 +0 290 0001000000000010000000001111111111000000000000000000000000000001 +0 289 0001000000000010000000001111111111000000000000000000000000000001 +0 288 0001000000000010000000001111111111000000000000000000000000000001 +0 287 0001000000000010000000001111111111000000000000000000000000000001 +0 286 0001000000000010000000001111111111000000000000000000000000000001 +0 285 0001000000000010000000001111111111000000000000000000000000000001 +0 284 0001000000000010000000001111111111000000000000000000000000000001 +0 283 0001000000000010000000001111111111000000000000000000000000000001 +0 282 0001000000000010000000001111111111000000000000000000000000000001 +0 281 0001000000000010000000001111111111000000000000000000000000000001 +0 280 0001000000000010000000001111111111000000000000000000000000000001 +0 279 0001000000000010000000001111111111000000000000000000000000000001 +0 278 0001000000000010000000001111111111000000000000000000000000000001 +0 277 0001000000000010000000001111111111000000000000000000000000000001 +0 276 0001000000000010000000001111111111000000000000000000000000000001 +0 275 0001000000000010000000001111111111000000000000000000000000000001 +0 274 0001000000000010000000001111111111000000000000000000000000000001 +0 273 0001000000000010000000001111111111000000000000000000000000000001 +0 272 0001000000000010000000001111111111000000000000000000000000000001 +0 271 0001000000000010000000001111111111000000000000000000000000000001 +0 270 0001000000000010000000001111111111000000000000000000000000000001 +0 269 0001000000000010000000001111111111000000000000000000000000000001 +0 268 0001000000000010000000001111111111000000000000000000000000000001 +0 267 0001000000000010000000001111111111000000000000000000000000000001 +0 266 0001000000000010000000001111111111000000000000000000000000000001 +0 265 0001000000000010000000001111111111000000000000000000000000000001 +0 264 0001000000000010000000001111111111000000000000000000000000000001 +0 263 0001000000000010000000001111111111000000000000000000000000000001 +0 262 0001000000000010000000001111111111000000000000000000000000000001 +0 261 0001000000000010000000001111111111000000000000000000000000000001 +0 260 0001000000000010000000001111111111000000000000000000000000000001 +0 259 0001000000000010000000001111111111000000000000000000000000000001 +0 258 0001000000000010000000001111111111000000000000000000000000000001 +0 257 0001000000000010000000001111111111000000000000000000000000000001 +0 256 0001000000000010000000001111111111000000000000000000000000000001 +0 255 0001000000000010000000001111111111000000000000000000000000000001 +0 254 0001000000000010000000001111111111000000000000000000000000000001 +0 253 0001000000000010000000001111111111000000000000000000000000000001 +0 252 0001000000000010000000001111111111000000000000000000000000000001 +0 251 0001000000000010000000001111111111000000000000000000000000000001 +0 250 0001000000000010000000001111111111000000000000000000000000000001 +0 249 0001000000000010000000001111111111000000000000000000000000000001 +0 248 0001000000000010000000001111111111000000000000000000000000000001 +0 247 0001000000000010000000001111111111000000000000000000000000000001 +0 246 0001000000000010000000001111111111000000000000000000000000000001 +0 245 0001000000000010000000001111111111000000000000000000000000000001 +0 244 0001000000000010000000001111111111000000000000000000000000000001 +0 243 0001000000000010000000001111111111000000000000000000000000000001 +0 242 0001000000000010000000001111111111000000000000000000000000000001 +0 241 0001000000000010000000001111111111000000000000000000000000000001 +0 240 0001000000000010000000001111111111000000000000000000000000000001 +0 239 0001000000000010000000001111111111000000000000000000000000000001 +0 238 0001000000000010000000001111111111000000000000000000000000000001 +0 237 0001000000000010000000001111111111000000000000000000000000000001 +0 236 0001000000000010000000001111111111000000000000000000000000000001 +0 235 0001000000000010000000001111111111000000000000000000000000000001 +0 234 0001000000000010000000001111111111000000000000000000000000000001 +0 233 0001000000000010000000001111111111000000000000000000000000000001 +0 232 0001000000000010000000001111111111000000000000000000000000000001 +0 231 0001000000000010000000001111111111000000000000000000000000000001 +0 230 0001000000000010000000001111111111000000000000000000000000000001 +0 229 0001000000000010000000001111111111000000000000000000000000000001 +0 228 0001000000000010000000001111111111000000000000000000000000000001 +0 227 0001000000000010000000001111111111000000000000000000000000000001 +0 226 0001000000000010000000001111111111000000000000000000000000000001 +0 225 0001000000000010000000001111111111000000000000000000000000000001 +0 224 0001000000000010000000001111111111000000000000000000000000000001 +0 223 0001000000000010000000001111111111000000000000000000000000000001 +0 222 0001000000000010000000001111111111000000000000000000000000000001 +0 221 0001000000000010000000001111111111000000000000000000000000000001 +0 220 0001000000000010000000001111111111000000000000000000000000000001 +0 219 0001000000000010000000001111111111000000000000000000000000000001 +0 218 0001000000000010000000001111111111000000000000000000000000000001 +0 217 0001000000000010000000001111111111000000000000000000000000000001 +0 216 0001000000000010000000001111111111000000000000000000000000000001 +0 215 0001000000000010000000001111111111000000000000000000000000000001 +0 214 0001000000000010000000001111111111000000000000000000000000000001 +0 213 0001000000000010000000001111111111000000000000000000000000000001 +0 212 0001000000000010000000001111111111000000000000000000000000000001 +0 211 0001000000000010000000001111111111000000000000000000000000000001 +0 210 0001000000000010000000001111111111000000000000000000000000000001 +0 209 0001000000000010000000001111111111000000000000000000000000000001 +0 208 0001000000000010000000001111111111000000000000000000000000000001 +0 207 0001000000000010000000001111111111000000000000000000000000000001 +0 206 0001000000000010000000001111111111000000000000000000000000000001 +0 205 0001000000000010000000001111111111000000000000000000000000000001 +0 204 0001000000000010000000001111111111000000000000000000000000000001 +0 203 0001000000000010000000001111111111000000000000000000000000000001 +0 202 0001000000000010000000001111111111000000000000000000000000000001 +0 201 0001000000000010000000001111111111000000000000000000000000000001 +0 200 0001000000000010000000001111111111000000000000000000000000000001 +0 199 0001000000000010000000001111111111000000000000000000000000000001 +0 198 0001000000000010000000001111111111000000000000000000000000000001 +0 197 0001000000000010000000001111111111000000000000000000000000000001 +0 196 0001000000000010000000001111111111000000000000000000000000000001 +0 195 0001000000000010000000001111111111000000000000000000000000000001 +0 194 0001000000000010000000001111111111000000000000000000000000000001 +0 193 0001000000000010000000001111111111000000000000000000000000000001 +0 192 0001000000000010000000001111111111000000000000000000000000000001 +0 191 0001000000000010000000001111111111000000000000000000000000000001 +0 190 0001000000000010000000001111111111000000000000000000000000000001 +0 189 0001000000000010000000001111111111000000000000000000000000000001 +0 188 0001000000000010000000001111111111000000000000000000000000000001 +0 187 0001000000000010000000001111111111000000000000000000000000000001 +0 186 0001000000000010000000001111111111000000000000000000000000000001 +0 185 0001000000000010000000001111111111000000000000000000000000000001 +0 184 0001000000000010000000001111111111000000000000000000000000000001 +0 183 0001000000000010000000001111111111000000000000000000000000000001 +0 182 0001000000000010000000001111111111000000000000000000000000000001 +0 181 0001000000000010000000001111111111000000000000000000000000000001 +0 180 0001000000000010000000001111111111000000000000000000000000000001 +0 179 0001000000000010000000001111111111000000000000000000000000000001 +0 178 0001000000000010000000001111111111000000000000000000000000000001 +0 177 0001000000000010000000001111111111000000000000000000000000000001 +0 176 0001000000000010000000001111111111000000000000000000000000000001 +0 175 0001000000000010000000001111111111000000000000000000000000000001 +0 174 0001000000000010000000001111111111000000000000000000000000000001 +0 173 0001000000000010000000001111111111000000000000000000000000000001 +0 172 0001000000000010000000001111111111000000000000000000000000000001 +0 171 0001000000000010000000001111111111000000000000000000000000000001 +0 170 0001000000000010000000001111111111000000000000000000000000000001 +0 169 0001000000000010000000001111111111000000000000000000000000000001 +0 168 0001000000000010000000001111111111000000000000000000000000000001 +0 167 0001000000000010000000001111111111000000000000000000000000000001 +0 166 0001000000000010000000001111111111000000000000000000000000000001 +0 165 0001000000000010000000001111111111000000000000000000000000000001 +0 164 0001000000000010000000001111111111000000000000000000000000000001 +0 163 0001000000000010000000001111111111000000000000000000000000000001 +0 162 0001000000000010000000001111111111000000000000000000000000000001 +0 161 0001000000000010000000001111111111000000000000000000000000000001 +0 160 0001000000000010000000001111111111000000000000000000000000000001 +0 159 0001000000000010000000001111111111000000000000000000000000000001 +0 158 0001000000000010000000001111111111000000000000000000000000000001 +0 157 0001000000000010000000001111111111000000000000000000000000000001 +0 156 0001000000000010000000001111111111000000000000000000000000000001 +0 155 0001000000000010000000001111111111000000000000000000000000000001 +0 154 0001000000000010000000001111111111000000000000000000000000000001 +0 153 0001000000000010000000001111111111000000000000000000000000000001 +0 152 0001000000000010000000001111111111000000000000000000000000000001 +0 151 0001000000000010000000001111111111000000000000000000000000000001 +0 150 0001000000000010000000001111111111000000000000000000000000000001 +0 149 0001000000000010000000001111111111000000000000000000000000000001 +0 148 0001000000000010000000001111111111000000000000000000000000000001 +0 147 0001000000000010000000001111111111000000000000000000000000000001 +0 146 0001000000000010000000001111111111000000000000000000000000000001 +0 145 0001000000000010000000001111111111000000000000000000000000000001 +0 144 0001000000000010000000001111111111000000000000000000000000000001 +0 143 0001000000000010000000001111111111000000000000000000000000000001 +0 142 0001000000000010000000001111111111000000000000000000000000000001 +0 141 0001000000000010000000001111111111000000000000000000000000000001 +0 140 0001000000000010000000001111111111000000000000000000000000000001 +0 139 0001000000000010000000001111111111000000000000000000000000000001 +0 138 0001000000000010000000001111111111000000000000000000000000000001 +0 137 0001000000000010000000001111111111000000000000000000000000000001 +0 136 0001000000000010000000001111111111000000000000000000000000000001 +0 135 0001000000000010000000001111111111000000000000000000000000000001 +0 134 0001000000000010000000001111111111000000000000000000000000000001 +0 133 0001000000000010000000001111111111000000000000000000000000000001 +0 132 0001000000000010000000001111111111000000000000000000000000000001 +0 131 0001000000000010000000001111111111000000000000000000000000000001 +0 130 0001000000000010000000001111111111000000000000000000000000000001 +0 129 0001000000000010000000001111111111000000000000000000000000000001 +0 128 0001000000000010000000001111111111000000000000000000000000000001 +0 127 0001000000000010000000001111111111000000000000000000000000000001 +0 126 0001000000000010000000001111111111000000000000000000000000000001 +0 125 0001000000000010000000001111111111000000000000000000000000000001 +0 124 0001000000000010000000001111111111000000000000000000000000000001 +0 123 0001000000000010000000001111111111000000000000000000000000000001 +0 122 0001000000000010000000001111111111000000000000000000000000000001 +0 121 0001000000000010000000001111111111000000000000000000000000000001 +0 120 0001000000000010000000001111111111000000000000000000000000000001 +0 119 0001000000000010000000001111111111000000000000000000000000000001 +0 118 0001000000000010000000001111111111000000000000000000000000000001 +0 117 0001000000000010000000001111111111000000000000000000000000000001 +0 116 0001000000000010000000001111111111000000000000000000000000000001 +0 115 0001000000000010000000001111111111000000000000000000000000000001 +0 114 0001000000000010000000001111111111000000000000000000000000000001 +0 113 0001000000000010000000001111111111000000000000000000000000000001 +0 112 0001000000000010000000001111111111000000000000000000000000000001 +0 111 0001000000000010000000001111111111000000000000000000000000000001 +0 110 0001000000000010000000001111111111000000000000000000000000000001 +0 109 0001000000000010000000001111111111000000000000000000000000000001 +0 108 0001000000000010000000001111111111000000000000000000000000000001 +0 107 0001000000000010000000001111111111000000000000000000000000000001 +0 106 0001000000000010000000001111111111000000000000000000000000000001 +0 105 0001000000000010000000001111111111000000000000000000000000000001 +0 104 0001000000000010000000001111111111000000000000000000000000000001 +0 103 0001000000000010000000001111111111000000000000000000000000000001 +0 102 0001000000000010000000001111111111000000000000000000000000000001 +0 101 0001000000000010000000001111111111000000000000000000000000000001 +0 100 0001000000000010000000001111111111000000000000000000000000000001 +0 99 0001000000000010000000001111111111000000000000000000000000000001 +0 98 0001000000000010000000001111111111000000000000000000000000000001 +0 97 0001000000000010000000001111111111000000000000000000000000000001 +0 96 0001000000000010000000001111111111000000000000000000000000000001 +0 95 0001000000000010000000001111111111000000000000000000000000000001 +0 94 0001000000000010000000001111111111000000000000000000000000000001 +0 93 0001000000000010000000001111111111000000000000000000000000000001 +0 92 0001000000000010000000001111111111000000000000000000000000000001 +0 91 0001000000000010000000001111111111000000000000000000000000000001 +0 90 0001000000000010000000001111111111000000000000000000000000000001 +0 89 0001000000000010000000001111111111000000000000000000000000000001 +0 88 0001000000000010000000001111111111000000000000000000000000000001 +0 87 0001000000000010000000001111111111000000000000000000000000000001 +0 86 0001000000000010000000001111111111000000000000000000000000000001 +0 85 0001000000000010000000001111111111000000000000000000000000000001 +0 84 0001000000000010000000001111111111000000000000000000000000000001 +0 83 0001000000000010000000001111111111000000000000000000000000000001 +0 82 0001000000000010000000001111111111000000000000000000000000000001 +0 81 0001000000000010000000001111111111000000000000000000000000000001 +0 80 0001000000000010000000001111111111000000000000000000000000000001 +0 79 0001000000000010000000001111111111000000000000000000000000000001 +0 78 0001000000000010000000001111111111000000000000000000000000000001 +0 77 0001000000000010000000001111111111000000000000000000000000000001 +0 76 0001000000000010000000001111111111000000000000000000000000000001 +0 75 0001000000000010000000001111111111000000000000000000000000000001 +0 74 0001000000000010000000001111111111000000000000000000000000000001 +0 73 0001000000000010000000001111111111000000000000000000000000000001 +0 72 0001000000000010000000001111111111000000000000000000000000000001 +0 71 0001000000000010000000001111111111000000000000000000000000000001 +0 70 0001000000000010000000001111111111000000000000000000000000000001 +0 69 0001000000000010000000001111111111000000000000000000000000000001 +0 68 0001000000000010000000001111111111000000000000000000000000000001 +0 67 0001000000000010000000001111111111000000000000000000000000000001 +0 66 0001000000000010000000001111111111000000000000000000000000000001 +0 65 0001000000000010000000001111111111000000000000000000000000000001 +0 64 0001000000000010000000001111111111000000000000000000000000000001 +0 63 0001000000000010000000001111111111000000000000000000000000000001 +0 62 0001000000000010000000001111111111000000000000000000000000000001 +0 61 0001000000000010000000001111111111000000000000000000000000000001 +0 60 0001000000000010000000001111111111000000000000000000000000000001 +0 59 0001000000000010000000001111111111000000000000000000000000000001 +0 58 0001000000000010000000001111111111000000000000000000000000000001 +0 57 0001000000000010000000001111111111000000000000000000000000000001 +0 56 0001000000000010000000001111111111000000000000000000000000000001 +0 55 0001000000000010000000001111111111000000000000000000000000000001 +0 54 0001000000000010000000001111111111000000000000000000000000000001 +0 53 0001000000000010000000001111111111000000000000000000000000000001 +0 52 0001000000000010000000001111111111000000000000000000000000000001 +0 51 0001000000000010000000001111111111000000000000000000000000000001 +0 50 0001000000000010000000001111111111000000000000000000000000000001 +0 49 0001000000000010000000001111111111000000000000000000000000000001 +0 48 0001000000000010000000001111111111000000000000000000000000000001 +0 47 0001000000000010000000001111111111000000000000000000000000000001 +0 46 0001000000000010000000001111111111000000000000000000000000000001 +0 45 0001000000000010000000001111111111000000000000000000000000000001 +0 44 0001000000000010000000001111111111000000000000000000000000000001 +0 43 0001000000000010000000001111111111000000000000000000000000000001 +0 42 0001000000000010000000001111111111000000000000000000000000000001 +0 41 0001000000000010000000001111111111000000000000000000000000000001 +0 40 0001000000000010000000001111111111000000000000000000000000000001 +0 39 0001000000000010000000001111111111000000000000000000000000000001 +0 38 0001000000000010000000001111111111000000000000000000000000000001 +0 37 0001000000000010000000001111111111000000000000000000000000000001 +0 36 0001000000000010000000001111111111000000000000000000000000000001 +0 35 0001000000000010000000001111111111000000000000000000000000000001 +0 34 0001000000000010000000001111111111000000000000000000000000000001 +0 33 0001000000000010000000001111111111000000000000000000000000000001 +0 32 0001000000000010000000001111111111000000000000000000000000000001 +0 31 0001000000000010000000001111111111000000000000000000000000000001 +0 30 0001000000000010000000001111111111000000000000000000000000000001 +0 29 0001000000000010000000001111111111000000000000000000000000000001 +0 28 0001000000000010000000001111111111000000000000000000000000000001 +0 27 0001000000000010000000001111111111000000000000000000000000000001 +0 26 0001000000000010000000001111111111000000000000000000000000000001 +0 25 0001000000000010000000001111111111000000000000000000000000000001 +0 24 0001000000000010000000001111111111000000000000000000000000000001 +0 23 0001000000000010000000001111111111000000000000000000000000000001 +0 22 0001000000000010000000001111111111000000000000000000000000000001 +0 21 0001000000000010000000001111111111000000000000000000000000000001 +0 20 0001000000000010000000001111111111000000000000000000000000000001 +0 19 0001000000000010000000001111111111000000000000000000000000000001 +0 18 0001000000000010000000001111111111000000000000000000000000000001 +0 17 0001000000000010000000001111111111000000000000000000000000000001 +0 16 0001000000000010000000001111111111000000000000000000000000000001 +0 15 0001000000000010000000001111111111000000000000000000000000000001 +0 14 0001000000000010000000001111111111000000000000000000000000000001 +0 13 0001000000000010000000001111111111000000000000000000000000000001 +0 12 0001000000000010000000001111111111000000000000000000000000000001 +0 11 0001000000000010000000001111111111000000000000000000000000000001 +0 10 0001000000000010000000001111111111000000000000000000000000000001 +0 9 0001000000000010000000001111111111000000000000000000000000000001 +0 8 0001000000000010000000001111111111000000000000000000000000000001 +0 7 0001000000000010000000001111111111000000000000000000000000000001 +0 6 0001000000000010000000001111111111000000000000000000000000000001 +0 5 0001000000000010000000001111111111000000000000000000000000000001 +0 4 0001000000000010000000001111111111000000000000000000000000000001 +0 3 0001000000000010000000001111111111000000000000000000000000000001 +0 2 0001000000000010000000001111111111000000000000000000000000000001 +0 1 0001000000000010000000001111111111000000000000000000000000000001 +0 0 0001000000000010000000001111111111000000000000000000000000000001 +0 1023 0001000000000000001000001111111111000000000000000000000000000001 +0 1022 0001000000000000001000001111111111000000000000000000000000000001 +0 1021 0001000000000000001000001111111111000000000000000000000000000001 +0 1020 0001000000000000001000001111111111000000000000000000000000000001 +0 1019 0001000000000000001000001111111111000000000000000000000000000001 +0 1018 0001000000000000001000001111111111000000000000000000000000000001 +0 1017 0001000000000000001000001111111111000000000000000000000000000001 +0 1016 0001000000000000001000001111111111000000000000000000000000000001 +0 1015 0001000000000000001000001111111111000000000000000000000000000001 +0 1014 0001000000000000001000001111111111000000000000000000000000000001 +0 1013 0001000000000000001000001111111111000000000000000000000000000001 +0 1012 0001000000000000001000001111111111000000000000000000000000000001 +0 1011 0001000000000000001000001111111111000000000000000000000000000001 +0 1010 0001000000000000001000001111111111000000000000000000000000000001 +0 1009 0001000000000000001000001111111111000000000000000000000000000001 +0 1008 0001000000000000001000001111111111000000000000000000000000000001 +0 1007 0001000000000000001000001111111111000000000000000000000000000001 +0 1006 0001000000000000001000001111111111000000000000000000000000000001 +0 1005 0001000000000000001000001111111111000000000000000000000000000001 +0 1004 0001000000000000001000001111111111000000000000000000000000000001 +0 1003 0001000000000000001000001111111111000000000000000000000000000001 +0 1002 0001000000000000001000001111111111000000000000000000000000000001 +0 1001 0001000000000000001000001111111111000000000000000000000000000001 +0 1000 0001000000000000001000001111111111000000000000000000000000000001 +0 999 0001000000000000001000001111111111000000000000000000000000000001 +0 998 0001000000000000001000001111111111000000000000000000000000000001 +0 997 0001000000000000001000001111111111000000000000000000000000000001 +0 996 0001000000000000001000001111111111000000000000000000000000000001 +0 995 0001000000000000001000001111111111000000000000000000000000000001 +0 994 0001000000000000001000001111111111000000000000000000000000000001 +0 993 0001000000000000001000001111111111000000000000000000000000000001 +0 992 0001000000000000001000001111111111000000000000000000000000000001 +0 991 0001000000000000001000001111111111000000000000000000000000000001 +0 990 0001000000000000001000001111111111000000000000000000000000000001 +0 989 0001000000000000001000001111111111000000000000000000000000000001 +0 988 0001000000000000001000001111111111000000000000000000000000000001 +0 987 0001000000000000001000001111111111000000000000000000000000000001 +0 986 0001000000000000001000001111111111000000000000000000000000000001 +0 985 0001000000000000001000001111111111000000000000000000000000000001 +0 984 0001000000000000001000001111111111000000000000000000000000000001 +0 983 0001000000000000001000001111111111000000000000000000000000000001 +0 982 0001000000000000001000001111111111000000000000000000000000000001 +0 981 0001000000000000001000001111111111000000000000000000000000000001 +0 980 0001000000000000001000001111111111000000000000000000000000000001 +0 979 0001000000000000001000001111111111000000000000000000000000000001 +0 978 0001000000000000001000001111111111000000000000000000000000000001 +0 977 0001000000000000001000001111111111000000000000000000000000000001 +0 976 0001000000000000001000001111111111000000000000000000000000000001 +0 975 0001000000000000001000001111111111000000000000000000000000000001 +0 974 0001000000000000001000001111111111000000000000000000000000000001 +0 973 0001000000000000001000001111111111000000000000000000000000000001 +0 972 0001000000000000001000001111111111000000000000000000000000000001 +0 971 0001000000000000001000001111111111000000000000000000000000000001 +0 970 0001000000000000001000001111111111000000000000000000000000000001 +0 969 0001000000000000001000001111111111000000000000000000000000000001 +0 968 0001000000000000001000001111111111000000000000000000000000000001 +0 967 0001000000000000001000001111111111000000000000000000000000000001 +0 966 0001000000000000001000001111111111000000000000000000000000000001 +0 965 0001000000000000001000001111111111000000000000000000000000000001 +0 964 0001000000000000001000001111111111000000000000000000000000000001 +0 963 0001000000000000001000001111111111000000000000000000000000000001 +0 962 0001000000000000001000001111111111000000000000000000000000000001 +0 961 0001000000000000001000001111111111000000000000000000000000000001 +0 960 0001000000000000001000001111111111000000000000000000000000000001 +0 959 0001000000000000001000001111111111000000000000000000000000000001 +0 958 0001000000000000001000001111111111000000000000000000000000000001 +0 957 0001000000000000001000001111111111000000000000000000000000000001 +0 956 0001000000000000001000001111111111000000000000000000000000000001 +0 955 0001000000000000001000001111111111000000000000000000000000000001 +0 954 0001000000000000001000001111111111000000000000000000000000000001 +0 953 0001000000000000001000001111111111000000000000000000000000000001 +0 952 0001000000000000001000001111111111000000000000000000000000000001 +0 951 0001000000000000001000001111111111000000000000000000000000000001 +0 950 0001000000000000001000001111111111000000000000000000000000000001 +0 949 0001000000000000001000001111111111000000000000000000000000000001 +0 948 0001000000000000001000001111111111000000000000000000000000000001 +0 947 0001000000000000001000001111111111000000000000000000000000000001 +0 946 0001000000000000001000001111111111000000000000000000000000000001 +0 945 0001000000000000001000001111111111000000000000000000000000000001 +0 944 0001000000000000001000001111111111000000000000000000000000000001 +0 943 0001000000000000001000001111111111000000000000000000000000000001 +0 942 0001000000000000001000001111111111000000000000000000000000000001 +0 941 0001000000000000001000001111111111000000000000000000000000000001 +0 940 0001000000000000001000001111111111000000000000000000000000000001 +0 939 0001000000000000001000001111111111000000000000000000000000000001 +0 938 0001000000000000001000001111111111000000000000000000000000000001 +0 937 0001000000000000001000001111111111000000000000000000000000000001 +0 936 0001000000000000001000001111111111000000000000000000000000000001 +0 935 0001000000000000001000001111111111000000000000000000000000000001 +0 934 0001000000000000001000001111111111000000000000000000000000000001 +0 933 0001000000000000001000001111111111000000000000000000000000000001 +0 932 0001000000000000001000001111111111000000000000000000000000000001 +0 931 0001000000000000001000001111111111000000000000000000000000000001 +0 930 0001000000000000001000001111111111000000000000000000000000000001 +0 929 0001000000000000001000001111111111000000000000000000000000000001 +0 928 0001000000000000001000001111111111000000000000000000000000000001 +0 927 0001000000000000001000001111111111000000000000000000000000000001 +0 926 0001000000000000001000001111111111000000000000000000000000000001 +0 925 0001000000000000001000001111111111000000000000000000000000000001 +0 924 0001000000000000001000001111111111000000000000000000000000000001 +0 923 0001000000000000001000001111111111000000000000000000000000000001 +0 922 0001000000000000001000001111111111000000000000000000000000000001 +0 921 0001000000000000001000001111111111000000000000000000000000000001 +0 920 0001000000000000001000001111111111000000000000000000000000000001 +0 919 0001000000000000001000001111111111000000000000000000000000000001 +0 918 0001000000000000001000001111111111000000000000000000000000000001 +0 917 0001000000000000001000001111111111000000000000000000000000000001 +0 916 0001000000000000001000001111111111000000000000000000000000000001 +0 915 0001000000000000001000001111111111000000000000000000000000000001 +0 914 0001000000000000001000001111111111000000000000000000000000000001 +0 913 0001000000000000001000001111111111000000000000000000000000000001 +0 912 0001000000000000001000001111111111000000000000000000000000000001 +0 911 0001000000000000001000001111111111000000000000000000000000000001 +0 910 0001000000000000001000001111111111000000000000000000000000000001 +0 909 0001000000000000001000001111111111000000000000000000000000000001 +0 908 0001000000000000001000001111111111000000000000000000000000000001 +0 907 0001000000000000001000001111111111000000000000000000000000000001 +0 906 0001000000000000001000001111111111000000000000000000000000000001 +0 905 0001000000000000001000001111111111000000000000000000000000000001 +0 904 0001000000000000001000001111111111000000000000000000000000000001 +0 903 0001000000000000001000001111111111000000000000000000000000000001 +0 902 0001000000000000001000001111111111000000000000000000000000000001 +0 901 0001000000000000001000001111111111000000000000000000000000000001 +0 900 0001000000000000001000001111111111000000000000000000000000000001 +0 899 0001000000000000001000001111111111000000000000000000000000000001 +0 898 0001000000000000001000001111111111000000000000000000000000000001 +0 897 0001000000000000001000001111111111000000000000000000000000000001 +0 896 0001000000000000001000001111111111000000000000000000000000000001 +0 895 0001000000000000001000001111111111000000000000000000000000000001 +0 894 0001000000000000001000001111111111000000000000000000000000000001 +0 893 0001000000000000001000001111111111000000000000000000000000000001 +0 892 0001000000000000001000001111111111000000000000000000000000000001 +0 891 0001000000000000001000001111111111000000000000000000000000000001 +0 890 0001000000000000001000001111111111000000000000000000000000000001 +0 889 0001000000000000001000001111111111000000000000000000000000000001 +0 888 0001000000000000001000001111111111000000000000000000000000000001 +0 887 0001000000000000001000001111111111000000000000000000000000000001 +0 886 0001000000000000001000001111111111000000000000000000000000000001 +0 885 0001000000000000001000001111111111000000000000000000000000000001 +0 884 0001000000000000001000001111111111000000000000000000000000000001 +0 883 0001000000000000001000001111111111000000000000000000000000000001 +0 882 0001000000000000001000001111111111000000000000000000000000000001 +0 881 0001000000000000001000001111111111000000000000000000000000000001 +0 880 0001000000000000001000001111111111000000000000000000000000000001 +0 879 0001000000000000001000001111111111000000000000000000000000000001 +0 878 0001000000000000001000001111111111000000000000000000000000000001 +0 877 0001000000000000001000001111111111000000000000000000000000000001 +0 876 0001000000000000001000001111111111000000000000000000000000000001 +0 875 0001000000000000001000001111111111000000000000000000000000000001 +0 874 0001000000000000001000001111111111000000000000000000000000000001 +0 873 0001000000000000001000001111111111000000000000000000000000000001 +0 872 0001000000000000001000001111111111000000000000000000000000000001 +0 871 0001000000000000001000001111111111000000000000000000000000000001 +0 870 0001000000000000001000001111111111000000000000000000000000000001 +0 869 0001000000000000001000001111111111000000000000000000000000000001 +0 868 0001000000000000001000001111111111000000000000000000000000000001 +0 867 0001000000000000001000001111111111000000000000000000000000000001 +0 866 0001000000000000001000001111111111000000000000000000000000000001 +0 865 0001000000000000001000001111111111000000000000000000000000000001 +0 864 0001000000000000001000001111111111000000000000000000000000000001 +0 863 0001000000000000001000001111111111000000000000000000000000000001 +0 862 0001000000000000001000001111111111000000000000000000000000000001 +0 861 0001000000000000001000001111111111000000000000000000000000000001 +0 860 0001000000000000001000001111111111000000000000000000000000000001 +0 859 0001000000000000001000001111111111000000000000000000000000000001 +0 858 0001000000000000001000001111111111000000000000000000000000000001 +0 857 0001000000000000001000001111111111000000000000000000000000000001 +0 856 0001000000000000001000001111111111000000000000000000000000000001 +0 855 0001000000000000001000001111111111000000000000000000000000000001 +0 854 0001000000000000001000001111111111000000000000000000000000000001 +0 853 0001000000000000001000001111111111000000000000000000000000000001 +0 852 0001000000000000001000001111111111000000000000000000000000000001 +0 851 0001000000000000001000001111111111000000000000000000000000000001 +0 850 0001000000000000001000001111111111000000000000000000000000000001 +0 849 0001000000000000001000001111111111000000000000000000000000000001 +0 848 0001000000000000001000001111111111000000000000000000000000000001 +0 847 0001000000000000001000001111111111000000000000000000000000000001 +0 846 0001000000000000001000001111111111000000000000000000000000000001 +0 845 0001000000000000001000001111111111000000000000000000000000000001 +0 844 0001000000000000001000001111111111000000000000000000000000000001 +0 843 0001000000000000001000001111111111000000000000000000000000000001 +0 842 0001000000000000001000001111111111000000000000000000000000000001 +0 841 0001000000000000001000001111111111000000000000000000000000000001 +0 840 0001000000000000001000001111111111000000000000000000000000000001 +0 839 0001000000000000001000001111111111000000000000000000000000000001 +0 838 0001000000000000001000001111111111000000000000000000000000000001 +0 837 0001000000000000001000001111111111000000000000000000000000000001 +0 836 0001000000000000001000001111111111000000000000000000000000000001 +0 835 0001000000000000001000001111111111000000000000000000000000000001 +0 834 0001000000000000001000001111111111000000000000000000000000000001 +0 833 0001000000000000001000001111111111000000000000000000000000000001 +0 832 0001000000000000001000001111111111000000000000000000000000000001 +0 831 0001000000000000001000001111111111000000000000000000000000000001 +0 830 0001000000000000001000001111111111000000000000000000000000000001 +0 829 0001000000000000001000001111111111000000000000000000000000000001 +0 828 0001000000000000001000001111111111000000000000000000000000000001 +0 827 0001000000000000001000001111111111000000000000000000000000000001 +0 826 0001000000000000001000001111111111000000000000000000000000000001 +0 825 0001000000000000001000001111111111000000000000000000000000000001 +0 824 0001000000000000001000001111111111000000000000000000000000000001 +0 823 0001000000000000001000001111111111000000000000000000000000000001 +0 822 0001000000000000001000001111111111000000000000000000000000000001 +0 821 0001000000000000001000001111111111000000000000000000000000000001 +0 820 0001000000000000001000001111111111000000000000000000000000000001 +0 819 0001000000000000001000001111111111000000000000000000000000000001 +0 818 0001000000000000001000001111111111000000000000000000000000000001 +0 817 0001000000000000001000001111111111000000000000000000000000000001 +0 816 0001000000000000001000001111111111000000000000000000000000000001 +0 815 0001000000000000001000001111111111000000000000000000000000000001 +0 814 0001000000000000001000001111111111000000000000000000000000000001 +0 813 0001000000000000001000001111111111000000000000000000000000000001 +0 812 0001000000000000001000001111111111000000000000000000000000000001 +0 811 0001000000000000001000001111111111000000000000000000000000000001 +0 810 0001000000000000001000001111111111000000000000000000000000000001 +0 809 0001000000000000001000001111111111000000000000000000000000000001 +0 808 0001000000000000001000001111111111000000000000000000000000000001 +0 807 0001000000000000001000001111111111000000000000000000000000000001 +0 806 0001000000000000001000001111111111000000000000000000000000000001 +0 805 0001000000000000001000001111111111000000000000000000000000000001 +0 804 0001000000000000001000001111111111000000000000000000000000000001 +0 803 0001000000000000001000001111111111000000000000000000000000000001 +0 802 0001000000000000001000001111111111000000000000000000000000000001 +0 801 0001000000000000001000001111111111000000000000000000000000000001 +0 800 0001000000000000001000001111111111000000000000000000000000000001 +0 799 0001000000000000001000001111111111000000000000000000000000000001 +0 798 0001000000000000001000001111111111000000000000000000000000000001 +0 797 0001000000000000001000001111111111000000000000000000000000000001 +0 796 0001000000000000001000001111111111000000000000000000000000000001 +0 795 0001000000000000001000001111111111000000000000000000000000000001 +0 794 0001000000000000001000001111111111000000000000000000000000000001 +0 793 0001000000000000001000001111111111000000000000000000000000000001 +0 792 0001000000000000001000001111111111000000000000000000000000000001 +0 791 0001000000000000001000001111111111000000000000000000000000000001 +0 790 0001000000000000001000001111111111000000000000000000000000000001 +0 789 0001000000000000001000001111111111000000000000000000000000000001 +0 788 0001000000000000001000001111111111000000000000000000000000000001 +0 787 0001000000000000001000001111111111000000000000000000000000000001 +0 786 0001000000000000001000001111111111000000000000000000000000000001 +0 785 0001000000000000001000001111111111000000000000000000000000000001 +0 784 0001000000000000001000001111111111000000000000000000000000000001 +0 783 0001000000000000001000001111111111000000000000000000000000000001 +0 782 0001000000000000001000001111111111000000000000000000000000000001 +0 781 0001000000000000001000001111111111000000000000000000000000000001 +0 780 0001000000000000001000001111111111000000000000000000000000000001 +0 779 0001000000000000001000001111111111000000000000000000000000000001 +0 778 0001000000000000001000001111111111000000000000000000000000000001 +0 777 0001000000000000001000001111111111000000000000000000000000000001 +0 776 0001000000000000001000001111111111000000000000000000000000000001 +0 775 0001000000000000001000001111111111000000000000000000000000000001 +0 774 0001000000000000001000001111111111000000000000000000000000000001 +0 773 0001000000000000001000001111111111000000000000000000000000000001 +0 772 0001000000000000001000001111111111000000000000000000000000000001 +0 771 0001000000000000001000001111111111000000000000000000000000000001 +0 770 0001000000000000001000001111111111000000000000000000000000000001 +0 769 0001000000000000001000001111111111000000000000000000000000000001 +0 768 0001000000000000001000001111111111000000000000000000000000000001 +0 767 0001000000000000001000001111111111000000000000000000000000000001 +0 766 0001000000000000001000001111111111000000000000000000000000000001 +0 765 0001000000000000001000001111111111000000000000000000000000000001 +0 764 0001000000000000001000001111111111000000000000000000000000000001 +0 763 0001000000000000001000001111111111000000000000000000000000000001 +0 762 0001000000000000001000001111111111000000000000000000000000000001 +0 761 0001000000000000001000001111111111000000000000000000000000000001 +0 760 0001000000000000001000001111111111000000000000000000000000000001 +0 759 0001000000000000001000001111111111000000000000000000000000000001 +0 758 0001000000000000001000001111111111000000000000000000000000000001 +0 757 0001000000000000001000001111111111000000000000000000000000000001 +0 756 0001000000000000001000001111111111000000000000000000000000000001 +0 755 0001000000000000001000001111111111000000000000000000000000000001 +0 754 0001000000000000001000001111111111000000000000000000000000000001 +0 753 0001000000000000001000001111111111000000000000000000000000000001 +0 752 0001000000000000001000001111111111000000000000000000000000000001 +0 751 0001000000000000001000001111111111000000000000000000000000000001 +0 750 0001000000000000001000001111111111000000000000000000000000000001 +0 749 0001000000000000001000001111111111000000000000000000000000000001 +0 748 0001000000000000001000001111111111000000000000000000000000000001 +0 747 0001000000000000001000001111111111000000000000000000000000000001 +0 746 0001000000000000001000001111111111000000000000000000000000000001 +0 745 0001000000000000001000001111111111000000000000000000000000000001 +0 744 0001000000000000001000001111111111000000000000000000000000000001 +0 743 0001000000000000001000001111111111000000000000000000000000000001 +0 742 0001000000000000001000001111111111000000000000000000000000000001 +0 741 0001000000000000001000001111111111000000000000000000000000000001 +0 740 0001000000000000001000001111111111000000000000000000000000000001 +0 739 0001000000000000001000001111111111000000000000000000000000000001 +0 738 0001000000000000001000001111111111000000000000000000000000000001 +0 737 0001000000000000001000001111111111000000000000000000000000000001 +0 736 0001000000000000001000001111111111000000000000000000000000000001 +0 735 0001000000000000001000001111111111000000000000000000000000000001 +0 734 0001000000000000001000001111111111000000000000000000000000000001 +0 733 0001000000000000001000001111111111000000000000000000000000000001 +0 732 0001000000000000001000001111111111000000000000000000000000000001 +0 731 0001000000000000001000001111111111000000000000000000000000000001 +0 730 0001000000000000001000001111111111000000000000000000000000000001 +0 729 0001000000000000001000001111111111000000000000000000000000000001 +0 728 0001000000000000001000001111111111000000000000000000000000000001 +0 727 0001000000000000001000001111111111000000000000000000000000000001 +0 726 0001000000000000001000001111111111000000000000000000000000000001 +0 725 0001000000000000001000001111111111000000000000000000000000000001 +0 724 0001000000000000001000001111111111000000000000000000000000000001 +0 723 0001000000000000001000001111111111000000000000000000000000000001 +0 722 0001000000000000001000001111111111000000000000000000000000000001 +0 721 0001000000000000001000001111111111000000000000000000000000000001 +0 720 0001000000000000001000001111111111000000000000000000000000000001 +0 719 0001000000000000001000001111111111000000000000000000000000000001 +0 718 0001000000000000001000001111111111000000000000000000000000000001 +0 717 0001000000000000001000001111111111000000000000000000000000000001 +0 716 0001000000000000001000001111111111000000000000000000000000000001 +0 715 0001000000000000001000001111111111000000000000000000000000000001 +0 714 0001000000000000001000001111111111000000000000000000000000000001 +0 713 0001000000000000001000001111111111000000000000000000000000000001 +0 712 0001000000000000001000001111111111000000000000000000000000000001 +0 711 0001000000000000001000001111111111000000000000000000000000000001 +0 710 0001000000000000001000001111111111000000000000000000000000000001 +0 709 0001000000000000001000001111111111000000000000000000000000000001 +0 708 0001000000000000001000001111111111000000000000000000000000000001 +0 707 0001000000000000001000001111111111000000000000000000000000000001 +0 706 0001000000000000001000001111111111000000000000000000000000000001 +0 705 0001000000000000001000001111111111000000000000000000000000000001 +0 704 0001000000000000001000001111111111000000000000000000000000000001 +0 703 0001000000000000001000001111111111000000000000000000000000000001 +0 702 0001000000000000001000001111111111000000000000000000000000000001 +0 701 0001000000000000001000001111111111000000000000000000000000000001 +0 700 0001000000000000001000001111111111000000000000000000000000000001 +0 699 0001000000000000001000001111111111000000000000000000000000000001 +0 698 0001000000000000001000001111111111000000000000000000000000000001 +0 697 0001000000000000001000001111111111000000000000000000000000000001 +0 696 0001000000000000001000001111111111000000000000000000000000000001 +0 695 0001000000000000001000001111111111000000000000000000000000000001 +0 694 0001000000000000001000001111111111000000000000000000000000000001 +0 693 0001000000000000001000001111111111000000000000000000000000000001 +0 692 0001000000000000001000001111111111000000000000000000000000000001 +0 691 0001000000000000001000001111111111000000000000000000000000000001 +0 690 0001000000000000001000001111111111000000000000000000000000000001 +0 689 0001000000000000001000001111111111000000000000000000000000000001 +0 688 0001000000000000001000001111111111000000000000000000000000000001 +0 687 0001000000000000001000001111111111000000000000000000000000000001 +0 686 0001000000000000001000001111111111000000000000000000000000000001 +0 685 0001000000000000001000001111111111000000000000000000000000000001 +0 684 0001000000000000001000001111111111000000000000000000000000000001 +0 683 0001000000000000001000001111111111000000000000000000000000000001 +0 682 0001000000000000001000001111111111000000000000000000000000000001 +0 681 0001000000000000001000001111111111000000000000000000000000000001 +0 680 0001000000000000001000001111111111000000000000000000000000000001 +0 679 0001000000000000001000001111111111000000000000000000000000000001 +0 678 0001000000000000001000001111111111000000000000000000000000000001 +0 677 0001000000000000001000001111111111000000000000000000000000000001 +0 676 0001000000000000001000001111111111000000000000000000000000000001 +0 675 0001000000000000001000001111111111000000000000000000000000000001 +0 674 0001000000000000001000001111111111000000000000000000000000000001 +0 673 0001000000000000001000001111111111000000000000000000000000000001 +0 672 0001000000000000001000001111111111000000000000000000000000000001 +0 671 0001000000000000001000001111111111000000000000000000000000000001 +0 670 0001000000000000001000001111111111000000000000000000000000000001 +0 669 0001000000000000001000001111111111000000000000000000000000000001 +0 668 0001000000000000001000001111111111000000000000000000000000000001 +0 667 0001000000000000001000001111111111000000000000000000000000000001 +0 666 0001000000000000001000001111111111000000000000000000000000000001 +0 665 0001000000000000001000001111111111000000000000000000000000000001 +0 664 0001000000000000001000001111111111000000000000000000000000000001 +0 663 0001000000000000001000001111111111000000000000000000000000000001 +0 662 0001000000000000001000001111111111000000000000000000000000000001 +0 661 0001000000000000001000001111111111000000000000000000000000000001 +0 660 0001000000000000001000001111111111000000000000000000000000000001 +0 659 0001000000000000001000001111111111000000000000000000000000000001 +0 658 0001000000000000001000001111111111000000000000000000000000000001 +0 657 0001000000000000001000001111111111000000000000000000000000000001 +0 656 0001000000000000001000001111111111000000000000000000000000000001 +0 655 0001000000000000001000001111111111000000000000000000000000000001 +0 654 0001000000000000001000001111111111000000000000000000000000000001 +0 653 0001000000000000001000001111111111000000000000000000000000000001 +0 652 0001000000000000001000001111111111000000000000000000000000000001 +0 651 0001000000000000001000001111111111000000000000000000000000000001 +0 650 0001000000000000001000001111111111000000000000000000000000000001 +0 649 0001000000000000001000001111111111000000000000000000000000000001 +0 648 0001000000000000001000001111111111000000000000000000000000000001 +0 647 0001000000000000001000001111111111000000000000000000000000000001 +0 646 0001000000000000001000001111111111000000000000000000000000000001 +0 645 0001000000000000001000001111111111000000000000000000000000000001 +0 644 0001000000000000001000001111111111000000000000000000000000000001 +0 643 0001000000000000001000001111111111000000000000000000000000000001 +0 642 0001000000000000001000001111111111000000000000000000000000000001 +0 641 0001000000000000001000001111111111000000000000000000000000000001 +0 640 0001000000000000001000001111111111000000000000000000000000000001 +0 639 0001000000000000001000001111111111000000000000000000000000000001 +0 638 0001000000000000001000001111111111000000000000000000000000000001 +0 637 0001000000000000001000001111111111000000000000000000000000000001 +0 636 0001000000000000001000001111111111000000000000000000000000000001 +0 635 0001000000000000001000001111111111000000000000000000000000000001 +0 634 0001000000000000001000001111111111000000000000000000000000000001 +0 633 0001000000000000001000001111111111000000000000000000000000000001 +0 632 0001000000000000001000001111111111000000000000000000000000000001 +0 631 0001000000000000001000001111111111000000000000000000000000000001 +0 630 0001000000000000001000001111111111000000000000000000000000000001 +0 629 0001000000000000001000001111111111000000000000000000000000000001 +0 628 0001000000000000001000001111111111000000000000000000000000000001 +0 627 0001000000000000001000001111111111000000000000000000000000000001 +0 626 0001000000000000001000001111111111000000000000000000000000000001 +0 625 0001000000000000001000001111111111000000000000000000000000000001 +0 624 0001000000000000001000001111111111000000000000000000000000000001 +0 623 0001000000000000001000001111111111000000000000000000000000000001 +0 622 0001000000000000001000001111111111000000000000000000000000000001 +0 621 0001000000000000001000001111111111000000000000000000000000000001 +0 620 0001000000000000001000001111111111000000000000000000000000000001 +0 619 0001000000000000001000001111111111000000000000000000000000000001 +0 618 0001000000000000001000001111111111000000000000000000000000000001 +0 617 0001000000000000001000001111111111000000000000000000000000000001 +0 616 0001000000000000001000001111111111000000000000000000000000000001 +0 615 0001000000000000001000001111111111000000000000000000000000000001 +0 614 0001000000000000001000001111111111000000000000000000000000000001 +0 613 0001000000000000001000001111111111000000000000000000000000000001 +0 612 0001000000000000001000001111111111000000000000000000000000000001 +0 611 0001000000000000001000001111111111000000000000000000000000000001 +0 610 0001000000000000001000001111111111000000000000000000000000000001 +0 609 0001000000000000001000001111111111000000000000000000000000000001 +0 608 0001000000000000001000001111111111000000000000000000000000000001 +0 607 0001000000000000001000001111111111000000000000000000000000000001 +0 606 0001000000000000001000001111111111000000000000000000000000000001 +0 605 0001000000000000001000001111111111000000000000000000000000000001 +0 604 0001000000000000001000001111111111000000000000000000000000000001 +0 603 0001000000000000001000001111111111000000000000000000000000000001 +0 602 0001000000000000001000001111111111000000000000000000000000000001 +0 601 0001000000000000001000001111111111000000000000000000000000000001 +0 600 0001000000000000001000001111111111000000000000000000000000000001 +0 599 0001000000000000001000001111111111000000000000000000000000000001 +0 598 0001000000000000001000001111111111000000000000000000000000000001 +0 597 0001000000000000001000001111111111000000000000000000000000000001 +0 596 0001000000000000001000001111111111000000000000000000000000000001 +0 595 0001000000000000001000001111111111000000000000000000000000000001 +0 594 0001000000000000001000001111111111000000000000000000000000000001 +0 593 0001000000000000001000001111111111000000000000000000000000000001 +0 592 0001000000000000001000001111111111000000000000000000000000000001 +0 591 0001000000000000001000001111111111000000000000000000000000000001 +0 590 0001000000000000001000001111111111000000000000000000000000000001 +0 589 0001000000000000001000001111111111000000000000000000000000000001 +0 588 0001000000000000001000001111111111000000000000000000000000000001 +0 587 0001000000000000001000001111111111000000000000000000000000000001 +0 586 0001000000000000001000001111111111000000000000000000000000000001 +0 585 0001000000000000001000001111111111000000000000000000000000000001 +0 584 0001000000000000001000001111111111000000000000000000000000000001 +0 583 0001000000000000001000001111111111000000000000000000000000000001 +0 582 0001000000000000001000001111111111000000000000000000000000000001 +0 581 0001000000000000001000001111111111000000000000000000000000000001 +0 580 0001000000000000001000001111111111000000000000000000000000000001 +0 579 0001000000000000001000001111111111000000000000000000000000000001 +0 578 0001000000000000001000001111111111000000000000000000000000000001 +0 577 0001000000000000001000001111111111000000000000000000000000000001 +0 576 0001000000000000001000001111111111000000000000000000000000000001 +0 575 0001000000000000001000001111111111000000000000000000000000000001 +0 574 0001000000000000001000001111111111000000000000000000000000000001 +0 573 0001000000000000001000001111111111000000000000000000000000000001 +0 572 0001000000000000001000001111111111000000000000000000000000000001 +0 571 0001000000000000001000001111111111000000000000000000000000000001 +0 570 0001000000000000001000001111111111000000000000000000000000000001 +0 569 0001000000000000001000001111111111000000000000000000000000000001 +0 568 0001000000000000001000001111111111000000000000000000000000000001 +0 567 0001000000000000001000001111111111000000000000000000000000000001 +0 566 0001000000000000001000001111111111000000000000000000000000000001 +0 565 0001000000000000001000001111111111000000000000000000000000000001 +0 564 0001000000000000001000001111111111000000000000000000000000000001 +0 563 0001000000000000001000001111111111000000000000000000000000000001 +0 562 0001000000000000001000001111111111000000000000000000000000000001 +0 561 0001000000000000001000001111111111000000000000000000000000000001 +0 560 0001000000000000001000001111111111000000000000000000000000000001 +0 559 0001000000000000001000001111111111000000000000000000000000000001 +0 558 0001000000000000001000001111111111000000000000000000000000000001 +0 557 0001000000000000001000001111111111000000000000000000000000000001 +0 556 0001000000000000001000001111111111000000000000000000000000000001 +0 555 0001000000000000001000001111111111000000000000000000000000000001 +0 554 0001000000000000001000001111111111000000000000000000000000000001 +0 553 0001000000000000001000001111111111000000000000000000000000000001 +0 552 0001000000000000001000001111111111000000000000000000000000000001 +0 551 0001000000000000001000001111111111000000000000000000000000000001 +0 550 0001000000000000001000001111111111000000000000000000000000000001 +0 549 0001000000000000001000001111111111000000000000000000000000000001 +0 548 0001000000000000001000001111111111000000000000000000000000000001 +0 547 0001000000000000001000001111111111000000000000000000000000000001 +0 546 0001000000000000001000001111111111000000000000000000000000000001 +0 545 0001000000000000001000001111111111000000000000000000000000000001 +0 544 0001000000000000001000001111111111000000000000000000000000000001 +0 543 0001000000000000001000001111111111000000000000000000000000000001 +0 542 0001000000000000001000001111111111000000000000000000000000000001 +0 541 0001000000000000001000001111111111000000000000000000000000000001 +0 540 0001000000000000001000001111111111000000000000000000000000000001 +0 539 0001000000000000001000001111111111000000000000000000000000000001 +0 538 0001000000000000001000001111111111000000000000000000000000000001 +0 537 0001000000000000001000001111111111000000000000000000000000000001 +0 536 0001000000000000001000001111111111000000000000000000000000000001 +0 535 0001000000000000001000001111111111000000000000000000000000000001 +0 534 0001000000000000001000001111111111000000000000000000000000000001 +0 533 0001000000000000001000001111111111000000000000000000000000000001 +0 532 0001000000000000001000001111111111000000000000000000000000000001 +0 531 0001000000000000001000001111111111000000000000000000000000000001 +0 530 0001000000000000001000001111111111000000000000000000000000000001 +0 529 0001000000000000001000001111111111000000000000000000000000000001 +0 528 0001000000000000001000001111111111000000000000000000000000000001 +0 527 0001000000000000001000001111111111000000000000000000000000000001 +0 526 0001000000000000001000001111111111000000000000000000000000000001 +0 525 0001000000000000001000001111111111000000000000000000000000000001 +0 524 0001000000000000001000001111111111000000000000000000000000000001 +0 523 0001000000000000001000001111111111000000000000000000000000000001 +0 522 0001000000000000001000001111111111000000000000000000000000000001 +0 521 0001000000000000001000001111111111000000000000000000000000000001 +0 520 0001000000000000001000001111111111000000000000000000000000000001 +0 519 0001000000000000001000001111111111000000000000000000000000000001 +0 518 0001000000000000001000001111111111000000000000000000000000000001 +0 517 0001000000000000001000001111111111000000000000000000000000000001 +0 516 0001000000000000001000001111111111000000000000000000000000000001 +0 515 0001000000000000001000001111111111000000000000000000000000000001 +0 514 0001000000000000001000001111111111000000000000000000000000000001 +0 513 0001000000000000001000001111111111000000000000000000000000000001 +0 512 0001000000000000001000001111111111000000000000000000000000000001 +0 511 0001000000000000001000001111111111000000000000000000000000000001 +0 510 0001000000000000001000001111111111000000000000000000000000000001 +0 509 0001000000000000001000001111111111000000000000000000000000000001 +0 508 0001000000000000001000001111111111000000000000000000000000000001 +0 507 0001000000000000001000001111111111000000000000000000000000000001 +0 506 0001000000000000001000001111111111000000000000000000000000000001 +0 505 0001000000000000001000001111111111000000000000000000000000000001 +0 504 0001000000000000001000001111111111000000000000000000000000000001 +0 503 0001000000000000001000001111111111000000000000000000000000000001 +0 502 0001000000000000001000001111111111000000000000000000000000000001 +0 501 0001000000000000001000001111111111000000000000000000000000000001 +0 500 0001000000000000001000001111111111000000000000000000000000000001 +0 499 0001000000000000001000001111111111000000000000000000000000000001 +0 498 0001000000000000001000001111111111000000000000000000000000000001 +0 497 0001000000000000001000001111111111000000000000000000000000000001 +0 496 0001000000000000001000001111111111000000000000000000000000000001 +0 495 0001000000000000001000001111111111000000000000000000000000000001 +0 494 0001000000000000001000001111111111000000000000000000000000000001 +0 493 0001000000000000001000001111111111000000000000000000000000000001 +0 492 0001000000000000001000001111111111000000000000000000000000000001 +0 491 0001000000000000001000001111111111000000000000000000000000000001 +0 490 0001000000000000001000001111111111000000000000000000000000000001 +0 489 0001000000000000001000001111111111000000000000000000000000000001 +0 488 0001000000000000001000001111111111000000000000000000000000000001 +0 487 0001000000000000001000001111111111000000000000000000000000000001 +0 486 0001000000000000001000001111111111000000000000000000000000000001 +0 485 0001000000000000001000001111111111000000000000000000000000000001 +0 484 0001000000000000001000001111111111000000000000000000000000000001 +0 483 0001000000000000001000001111111111000000000000000000000000000001 +0 482 0001000000000000001000001111111111000000000000000000000000000001 +0 481 0001000000000000001000001111111111000000000000000000000000000001 +0 480 0001000000000000001000001111111111000000000000000000000000000001 +0 479 0001000000000000001000001111111111000000000000000000000000000001 +0 478 0001000000000000001000001111111111000000000000000000000000000001 +0 477 0001000000000000001000001111111111000000000000000000000000000001 +0 476 0001000000000000001000001111111111000000000000000000000000000001 +0 475 0001000000000000001000001111111111000000000000000000000000000001 +0 474 0001000000000000001000001111111111000000000000000000000000000001 +0 473 0001000000000000001000001111111111000000000000000000000000000001 +0 472 0001000000000000001000001111111111000000000000000000000000000001 +0 471 0001000000000000001000001111111111000000000000000000000000000001 +0 470 0001000000000000001000001111111111000000000000000000000000000001 +0 469 0001000000000000001000001111111111000000000000000000000000000001 +0 468 0001000000000000001000001111111111000000000000000000000000000001 +0 467 0001000000000000001000001111111111000000000000000000000000000001 +0 466 0001000000000000001000001111111111000000000000000000000000000001 +0 465 0001000000000000001000001111111111000000000000000000000000000001 +0 464 0001000000000000001000001111111111000000000000000000000000000001 +0 463 0001000000000000001000001111111111000000000000000000000000000001 +0 462 0001000000000000001000001111111111000000000000000000000000000001 +0 461 0001000000000000001000001111111111000000000000000000000000000001 +0 460 0001000000000000001000001111111111000000000000000000000000000001 +0 459 0001000000000000001000001111111111000000000000000000000000000001 +0 458 0001000000000000001000001111111111000000000000000000000000000001 +0 457 0001000000000000001000001111111111000000000000000000000000000001 +0 456 0001000000000000001000001111111111000000000000000000000000000001 +0 455 0001000000000000001000001111111111000000000000000000000000000001 +0 454 0001000000000000001000001111111111000000000000000000000000000001 +0 453 0001000000000000001000001111111111000000000000000000000000000001 +0 452 0001000000000000001000001111111111000000000000000000000000000001 +0 451 0001000000000000001000001111111111000000000000000000000000000001 +0 450 0001000000000000001000001111111111000000000000000000000000000001 +0 449 0001000000000000001000001111111111000000000000000000000000000001 +0 448 0001000000000000001000001111111111000000000000000000000000000001 +0 447 0001000000000000001000001111111111000000000000000000000000000001 +0 446 0001000000000000001000001111111111000000000000000000000000000001 +0 445 0001000000000000001000001111111111000000000000000000000000000001 +0 444 0001000000000000001000001111111111000000000000000000000000000001 +0 443 0001000000000000001000001111111111000000000000000000000000000001 +0 442 0001000000000000001000001111111111000000000000000000000000000001 +0 441 0001000000000000001000001111111111000000000000000000000000000001 +0 440 0001000000000000001000001111111111000000000000000000000000000001 +0 439 0001000000000000001000001111111111000000000000000000000000000001 +0 438 0001000000000000001000001111111111000000000000000000000000000001 +0 437 0001000000000000001000001111111111000000000000000000000000000001 +0 436 0001000000000000001000001111111111000000000000000000000000000001 +0 435 0001000000000000001000001111111111000000000000000000000000000001 +0 434 0001000000000000001000001111111111000000000000000000000000000001 +0 433 0001000000000000001000001111111111000000000000000000000000000001 +0 432 0001000000000000001000001111111111000000000000000000000000000001 +0 431 0001000000000000001000001111111111000000000000000000000000000001 +0 430 0001000000000000001000001111111111000000000000000000000000000001 +0 429 0001000000000000001000001111111111000000000000000000000000000001 +0 428 0001000000000000001000001111111111000000000000000000000000000001 +0 427 0001000000000000001000001111111111000000000000000000000000000001 +0 426 0001000000000000001000001111111111000000000000000000000000000001 +0 425 0001000000000000001000001111111111000000000000000000000000000001 +0 424 0001000000000000001000001111111111000000000000000000000000000001 +0 423 0001000000000000001000001111111111000000000000000000000000000001 +0 422 0001000000000000001000001111111111000000000000000000000000000001 +0 421 0001000000000000001000001111111111000000000000000000000000000001 +0 420 0001000000000000001000001111111111000000000000000000000000000001 +0 419 0001000000000000001000001111111111000000000000000000000000000001 +0 418 0001000000000000001000001111111111000000000000000000000000000001 +0 417 0001000000000000001000001111111111000000000000000000000000000001 +0 416 0001000000000000001000001111111111000000000000000000000000000001 +0 415 0001000000000000001000001111111111000000000000000000000000000001 +0 414 0001000000000000001000001111111111000000000000000000000000000001 +0 413 0001000000000000001000001111111111000000000000000000000000000001 +0 412 0001000000000000001000001111111111000000000000000000000000000001 +0 411 0001000000000000001000001111111111000000000000000000000000000001 +0 410 0001000000000000001000001111111111000000000000000000000000000001 +0 409 0001000000000000001000001111111111000000000000000000000000000001 +0 408 0001000000000000001000001111111111000000000000000000000000000001 +0 407 0001000000000000001000001111111111000000000000000000000000000001 +0 406 0001000000000000001000001111111111000000000000000000000000000001 +0 405 0001000000000000001000001111111111000000000000000000000000000001 +0 404 0001000000000000001000001111111111000000000000000000000000000001 +0 403 0001000000000000001000001111111111000000000000000000000000000001 +0 402 0001000000000000001000001111111111000000000000000000000000000001 +0 401 0001000000000000001000001111111111000000000000000000000000000001 +0 400 0001000000000000001000001111111111000000000000000000000000000001 +0 399 0001000000000000001000001111111111000000000000000000000000000001 +0 398 0001000000000000001000001111111111000000000000000000000000000001 +0 397 0001000000000000001000001111111111000000000000000000000000000001 +0 396 0001000000000000001000001111111111000000000000000000000000000001 +0 395 0001000000000000001000001111111111000000000000000000000000000001 +0 394 0001000000000000001000001111111111000000000000000000000000000001 +0 393 0001000000000000001000001111111111000000000000000000000000000001 +0 392 0001000000000000001000001111111111000000000000000000000000000001 +0 391 0001000000000000001000001111111111000000000000000000000000000001 +0 390 0001000000000000001000001111111111000000000000000000000000000001 +0 389 0001000000000000001000001111111111000000000000000000000000000001 +0 388 0001000000000000001000001111111111000000000000000000000000000001 +0 387 0001000000000000001000001111111111000000000000000000000000000001 +0 386 0001000000000000001000001111111111000000000000000000000000000001 +0 385 0001000000000000001000001111111111000000000000000000000000000001 +0 384 0001000000000000001000001111111111000000000000000000000000000001 +0 383 0001000000000000001000001111111111000000000000000000000000000001 +0 382 0001000000000000001000001111111111000000000000000000000000000001 +0 381 0001000000000000001000001111111111000000000000000000000000000001 +0 380 0001000000000000001000001111111111000000000000000000000000000001 +0 379 0001000000000000001000001111111111000000000000000000000000000001 +0 378 0001000000000000001000001111111111000000000000000000000000000001 +0 377 0001000000000000001000001111111111000000000000000000000000000001 +0 376 0001000000000000001000001111111111000000000000000000000000000001 +0 375 0001000000000000001000001111111111000000000000000000000000000001 +0 374 0001000000000000001000001111111111000000000000000000000000000001 +0 373 0001000000000000001000001111111111000000000000000000000000000001 +0 372 0001000000000000001000001111111111000000000000000000000000000001 +0 371 0001000000000000001000001111111111000000000000000000000000000001 +0 370 0001000000000000001000001111111111000000000000000000000000000001 +0 369 0001000000000000001000001111111111000000000000000000000000000001 +0 368 0001000000000000001000001111111111000000000000000000000000000001 +0 367 0001000000000000001000001111111111000000000000000000000000000001 +0 366 0001000000000000001000001111111111000000000000000000000000000001 +0 365 0001000000000000001000001111111111000000000000000000000000000001 +0 364 0001000000000000001000001111111111000000000000000000000000000001 +0 363 0001000000000000001000001111111111000000000000000000000000000001 +0 362 0001000000000000001000001111111111000000000000000000000000000001 +0 361 0001000000000000001000001111111111000000000000000000000000000001 +0 360 0001000000000000001000001111111111000000000000000000000000000001 +0 359 0001000000000000001000001111111111000000000000000000000000000001 +0 358 0001000000000000001000001111111111000000000000000000000000000001 +0 357 0001000000000000001000001111111111000000000000000000000000000001 +0 356 0001000000000000001000001111111111000000000000000000000000000001 +0 355 0001000000000000001000001111111111000000000000000000000000000001 +0 354 0001000000000000001000001111111111000000000000000000000000000001 +0 353 0001000000000000001000001111111111000000000000000000000000000001 +0 352 0001000000000000001000001111111111000000000000000000000000000001 +0 351 0001000000000000001000001111111111000000000000000000000000000001 +0 350 0001000000000000001000001111111111000000000000000000000000000001 +0 349 0001000000000000001000001111111111000000000000000000000000000001 +0 348 0001000000000000001000001111111111000000000000000000000000000001 +0 347 0001000000000000001000001111111111000000000000000000000000000001 +0 346 0001000000000000001000001111111111000000000000000000000000000001 +0 345 0001000000000000001000001111111111000000000000000000000000000001 +0 344 0001000000000000001000001111111111000000000000000000000000000001 +0 343 0001000000000000001000001111111111000000000000000000000000000001 +0 342 0001000000000000001000001111111111000000000000000000000000000001 +0 341 0001000000000000001000001111111111000000000000000000000000000001 +0 340 0001000000000000001000001111111111000000000000000000000000000001 +0 339 0001000000000000001000001111111111000000000000000000000000000001 +0 338 0001000000000000001000001111111111000000000000000000000000000001 +0 337 0001000000000000001000001111111111000000000000000000000000000001 +0 336 0001000000000000001000001111111111000000000000000000000000000001 +0 335 0001000000000000001000001111111111000000000000000000000000000001 +0 334 0001000000000000001000001111111111000000000000000000000000000001 +0 333 0001000000000000001000001111111111000000000000000000000000000001 +0 332 0001000000000000001000001111111111000000000000000000000000000001 +0 331 0001000000000000001000001111111111000000000000000000000000000001 +0 330 0001000000000000001000001111111111000000000000000000000000000001 +0 329 0001000000000000001000001111111111000000000000000000000000000001 +0 328 0001000000000000001000001111111111000000000000000000000000000001 +0 327 0001000000000000001000001111111111000000000000000000000000000001 +0 326 0001000000000000001000001111111111000000000000000000000000000001 +0 325 0001000000000000001000001111111111000000000000000000000000000001 +0 324 0001000000000000001000001111111111000000000000000000000000000001 +0 323 0001000000000000001000001111111111000000000000000000000000000001 +0 322 0001000000000000001000001111111111000000000000000000000000000001 +0 321 0001000000000000001000001111111111000000000000000000000000000001 +0 320 0001000000000000001000001111111111000000000000000000000000000001 +0 319 0001000000000000001000001111111111000000000000000000000000000001 +0 318 0001000000000000001000001111111111000000000000000000000000000001 +0 317 0001000000000000001000001111111111000000000000000000000000000001 +0 316 0001000000000000001000001111111111000000000000000000000000000001 +0 315 0001000000000000001000001111111111000000000000000000000000000001 +0 314 0001000000000000001000001111111111000000000000000000000000000001 +0 313 0001000000000000001000001111111111000000000000000000000000000001 +0 312 0001000000000000001000001111111111000000000000000000000000000001 +0 311 0001000000000000001000001111111111000000000000000000000000000001 +0 310 0001000000000000001000001111111111000000000000000000000000000001 +0 309 0001000000000000001000001111111111000000000000000000000000000001 +0 308 0001000000000000001000001111111111000000000000000000000000000001 +0 307 0001000000000000001000001111111111000000000000000000000000000001 +0 306 0001000000000000001000001111111111000000000000000000000000000001 +0 305 0001000000000000001000001111111111000000000000000000000000000001 +0 304 0001000000000000001000001111111111000000000000000000000000000001 +0 303 0001000000000000001000001111111111000000000000000000000000000001 +0 302 0001000000000000001000001111111111000000000000000000000000000001 +0 301 0001000000000000001000001111111111000000000000000000000000000001 +0 300 0001000000000000001000001111111111000000000000000000000000000001 +0 299 0001000000000000001000001111111111000000000000000000000000000001 +0 298 0001000000000000001000001111111111000000000000000000000000000001 +0 297 0001000000000000001000001111111111000000000000000000000000000001 +0 296 0001000000000000001000001111111111000000000000000000000000000001 +0 295 0001000000000000001000001111111111000000000000000000000000000001 +0 294 0001000000000000001000001111111111000000000000000000000000000001 +0 293 0001000000000000001000001111111111000000000000000000000000000001 +0 292 0001000000000000001000001111111111000000000000000000000000000001 +0 291 0001000000000000001000001111111111000000000000000000000000000001 +0 290 0001000000000000001000001111111111000000000000000000000000000001 +0 289 0001000000000000001000001111111111000000000000000000000000000001 +0 288 0001000000000000001000001111111111000000000000000000000000000001 +0 287 0001000000000000001000001111111111000000000000000000000000000001 +0 286 0001000000000000001000001111111111000000000000000000000000000001 +0 285 0001000000000000001000001111111111000000000000000000000000000001 +0 284 0001000000000000001000001111111111000000000000000000000000000001 +0 283 0001000000000000001000001111111111000000000000000000000000000001 +0 282 0001000000000000001000001111111111000000000000000000000000000001 +0 281 0001000000000000001000001111111111000000000000000000000000000001 +0 280 0001000000000000001000001111111111000000000000000000000000000001 +0 279 0001000000000000001000001111111111000000000000000000000000000001 +0 278 0001000000000000001000001111111111000000000000000000000000000001 +0 277 0001000000000000001000001111111111000000000000000000000000000001 +0 276 0001000000000000001000001111111111000000000000000000000000000001 +0 275 0001000000000000001000001111111111000000000000000000000000000001 +0 274 0001000000000000001000001111111111000000000000000000000000000001 +0 273 0001000000000000001000001111111111000000000000000000000000000001 +0 272 0001000000000000001000001111111111000000000000000000000000000001 +0 271 0001000000000000001000001111111111000000000000000000000000000001 +0 270 0001000000000000001000001111111111000000000000000000000000000001 +0 269 0001000000000000001000001111111111000000000000000000000000000001 +0 268 0001000000000000001000001111111111000000000000000000000000000001 +0 267 0001000000000000001000001111111111000000000000000000000000000001 +0 266 0001000000000000001000001111111111000000000000000000000000000001 +0 265 0001000000000000001000001111111111000000000000000000000000000001 +0 264 0001000000000000001000001111111111000000000000000000000000000001 +0 263 0001000000000000001000001111111111000000000000000000000000000001 +0 262 0001000000000000001000001111111111000000000000000000000000000001 +0 261 0001000000000000001000001111111111000000000000000000000000000001 +0 260 0001000000000000001000001111111111000000000000000000000000000001 +0 259 0001000000000000001000001111111111000000000000000000000000000001 +0 258 0001000000000000001000001111111111000000000000000000000000000001 +0 257 0001000000000000001000001111111111000000000000000000000000000001 +0 256 0001000000000000001000001111111111000000000000000000000000000001 +0 255 0001000000000000001000001111111111000000000000000000000000000001 +0 254 0001000000000000001000001111111111000000000000000000000000000001 +0 253 0001000000000000001000001111111111000000000000000000000000000001 +0 252 0001000000000000001000001111111111000000000000000000000000000001 +0 251 0001000000000000001000001111111111000000000000000000000000000001 +0 250 0001000000000000001000001111111111000000000000000000000000000001 +0 249 0001000000000000001000001111111111000000000000000000000000000001 +0 248 0001000000000000001000001111111111000000000000000000000000000001 +0 247 0001000000000000001000001111111111000000000000000000000000000001 +0 246 0001000000000000001000001111111111000000000000000000000000000001 +0 245 0001000000000000001000001111111111000000000000000000000000000001 +0 244 0001000000000000001000001111111111000000000000000000000000000001 +0 243 0001000000000000001000001111111111000000000000000000000000000001 +0 242 0001000000000000001000001111111111000000000000000000000000000001 +0 241 0001000000000000001000001111111111000000000000000000000000000001 +0 240 0001000000000000001000001111111111000000000000000000000000000001 +0 239 0001000000000000001000001111111111000000000000000000000000000001 +0 238 0001000000000000001000001111111111000000000000000000000000000001 +0 237 0001000000000000001000001111111111000000000000000000000000000001 +0 236 0001000000000000001000001111111111000000000000000000000000000001 +0 235 0001000000000000001000001111111111000000000000000000000000000001 +0 234 0001000000000000001000001111111111000000000000000000000000000001 +0 233 0001000000000000001000001111111111000000000000000000000000000001 +0 232 0001000000000000001000001111111111000000000000000000000000000001 +0 231 0001000000000000001000001111111111000000000000000000000000000001 +0 230 0001000000000000001000001111111111000000000000000000000000000001 +0 229 0001000000000000001000001111111111000000000000000000000000000001 +0 228 0001000000000000001000001111111111000000000000000000000000000001 +0 227 0001000000000000001000001111111111000000000000000000000000000001 +0 226 0001000000000000001000001111111111000000000000000000000000000001 +0 225 0001000000000000001000001111111111000000000000000000000000000001 +0 224 0001000000000000001000001111111111000000000000000000000000000001 +0 223 0001000000000000001000001111111111000000000000000000000000000001 +0 222 0001000000000000001000001111111111000000000000000000000000000001 +0 221 0001000000000000001000001111111111000000000000000000000000000001 +0 220 0001000000000000001000001111111111000000000000000000000000000001 +0 219 0001000000000000001000001111111111000000000000000000000000000001 +0 218 0001000000000000001000001111111111000000000000000000000000000001 +0 217 0001000000000000001000001111111111000000000000000000000000000001 +0 216 0001000000000000001000001111111111000000000000000000000000000001 +0 215 0001000000000000001000001111111111000000000000000000000000000001 +0 214 0001000000000000001000001111111111000000000000000000000000000001 +0 213 0001000000000000001000001111111111000000000000000000000000000001 +0 212 0001000000000000001000001111111111000000000000000000000000000001 +0 211 0001000000000000001000001111111111000000000000000000000000000001 +0 210 0001000000000000001000001111111111000000000000000000000000000001 +0 209 0001000000000000001000001111111111000000000000000000000000000001 +0 208 0001000000000000001000001111111111000000000000000000000000000001 +0 207 0001000000000000001000001111111111000000000000000000000000000001 +0 206 0001000000000000001000001111111111000000000000000000000000000001 +0 205 0001000000000000001000001111111111000000000000000000000000000001 +0 204 0001000000000000001000001111111111000000000000000000000000000001 +0 203 0001000000000000001000001111111111000000000000000000000000000001 +0 202 0001000000000000001000001111111111000000000000000000000000000001 +0 201 0001000000000000001000001111111111000000000000000000000000000001 +0 200 0001000000000000001000001111111111000000000000000000000000000001 +0 199 0001000000000000001000001111111111000000000000000000000000000001 +0 198 0001000000000000001000001111111111000000000000000000000000000001 +0 197 0001000000000000001000001111111111000000000000000000000000000001 +0 196 0001000000000000001000001111111111000000000000000000000000000001 +0 195 0001000000000000001000001111111111000000000000000000000000000001 +0 194 0001000000000000001000001111111111000000000000000000000000000001 +0 193 0001000000000000001000001111111111000000000000000000000000000001 +0 192 0001000000000000001000001111111111000000000000000000000000000001 +0 191 0001000000000000001000001111111111000000000000000000000000000001 +0 190 0001000000000000001000001111111111000000000000000000000000000001 +0 189 0001000000000000001000001111111111000000000000000000000000000001 +0 188 0001000000000000001000001111111111000000000000000000000000000001 +0 187 0001000000000000001000001111111111000000000000000000000000000001 +0 186 0001000000000000001000001111111111000000000000000000000000000001 +0 185 0001000000000000001000001111111111000000000000000000000000000001 +0 184 0001000000000000001000001111111111000000000000000000000000000001 +0 183 0001000000000000001000001111111111000000000000000000000000000001 +0 182 0001000000000000001000001111111111000000000000000000000000000001 +0 181 0001000000000000001000001111111111000000000000000000000000000001 +0 180 0001000000000000001000001111111111000000000000000000000000000001 +0 179 0001000000000000001000001111111111000000000000000000000000000001 +0 178 0001000000000000001000001111111111000000000000000000000000000001 +0 177 0001000000000000001000001111111111000000000000000000000000000001 +0 176 0001000000000000001000001111111111000000000000000000000000000001 +0 175 0001000000000000001000001111111111000000000000000000000000000001 +0 174 0001000000000000001000001111111111000000000000000000000000000001 +0 173 0001000000000000001000001111111111000000000000000000000000000001 +0 172 0001000000000000001000001111111111000000000000000000000000000001 +0 171 0001000000000000001000001111111111000000000000000000000000000001 +0 170 0001000000000000001000001111111111000000000000000000000000000001 +0 169 0001000000000000001000001111111111000000000000000000000000000001 +0 168 0001000000000000001000001111111111000000000000000000000000000001 +0 167 0001000000000000001000001111111111000000000000000000000000000001 +0 166 0001000000000000001000001111111111000000000000000000000000000001 +0 165 0001000000000000001000001111111111000000000000000000000000000001 +0 164 0001000000000000001000001111111111000000000000000000000000000001 +0 163 0001000000000000001000001111111111000000000000000000000000000001 +0 162 0001000000000000001000001111111111000000000000000000000000000001 +0 161 0001000000000000001000001111111111000000000000000000000000000001 +0 160 0001000000000000001000001111111111000000000000000000000000000001 +0 159 0001000000000000001000001111111111000000000000000000000000000001 +0 158 0001000000000000001000001111111111000000000000000000000000000001 +0 157 0001000000000000001000001111111111000000000000000000000000000001 +0 156 0001000000000000001000001111111111000000000000000000000000000001 +0 155 0001000000000000001000001111111111000000000000000000000000000001 +0 154 0001000000000000001000001111111111000000000000000000000000000001 +0 153 0001000000000000001000001111111111000000000000000000000000000001 +0 152 0001000000000000001000001111111111000000000000000000000000000001 +0 151 0001000000000000001000001111111111000000000000000000000000000001 +0 150 0001000000000000001000001111111111000000000000000000000000000001 +0 149 0001000000000000001000001111111111000000000000000000000000000001 +0 148 0001000000000000001000001111111111000000000000000000000000000001 +0 147 0001000000000000001000001111111111000000000000000000000000000001 +0 146 0001000000000000001000001111111111000000000000000000000000000001 +0 145 0001000000000000001000001111111111000000000000000000000000000001 +0 144 0001000000000000001000001111111111000000000000000000000000000001 +0 143 0001000000000000001000001111111111000000000000000000000000000001 +0 142 0001000000000000001000001111111111000000000000000000000000000001 +0 141 0001000000000000001000001111111111000000000000000000000000000001 +0 140 0001000000000000001000001111111111000000000000000000000000000001 +0 139 0001000000000000001000001111111111000000000000000000000000000001 +0 138 0001000000000000001000001111111111000000000000000000000000000001 +0 137 0001000000000000001000001111111111000000000000000000000000000001 +0 136 0001000000000000001000001111111111000000000000000000000000000001 +0 135 0001000000000000001000001111111111000000000000000000000000000001 +0 134 0001000000000000001000001111111111000000000000000000000000000001 +0 133 0001000000000000001000001111111111000000000000000000000000000001 +0 132 0001000000000000001000001111111111000000000000000000000000000001 +0 131 0001000000000000001000001111111111000000000000000000000000000001 +0 130 0001000000000000001000001111111111000000000000000000000000000001 +0 129 0001000000000000001000001111111111000000000000000000000000000001 +0 128 0001000000000000001000001111111111000000000000000000000000000001 +0 127 0001000000000000001000001111111111000000000000000000000000000001 +0 126 0001000000000000001000001111111111000000000000000000000000000001 +0 125 0001000000000000001000001111111111000000000000000000000000000001 +0 124 0001000000000000001000001111111111000000000000000000000000000001 +0 123 0001000000000000001000001111111111000000000000000000000000000001 +0 122 0001000000000000001000001111111111000000000000000000000000000001 +0 121 0001000000000000001000001111111111000000000000000000000000000001 +0 120 0001000000000000001000001111111111000000000000000000000000000001 +0 119 0001000000000000001000001111111111000000000000000000000000000001 +0 118 0001000000000000001000001111111111000000000000000000000000000001 +0 117 0001000000000000001000001111111111000000000000000000000000000001 +0 116 0001000000000000001000001111111111000000000000000000000000000001 +0 115 0001000000000000001000001111111111000000000000000000000000000001 +0 114 0001000000000000001000001111111111000000000000000000000000000001 +0 113 0001000000000000001000001111111111000000000000000000000000000001 +0 112 0001000000000000001000001111111111000000000000000000000000000001 +0 111 0001000000000000001000001111111111000000000000000000000000000001 +0 110 0001000000000000001000001111111111000000000000000000000000000001 +0 109 0001000000000000001000001111111111000000000000000000000000000001 +0 108 0001000000000000001000001111111111000000000000000000000000000001 +0 107 0001000000000000001000001111111111000000000000000000000000000001 +0 106 0001000000000000001000001111111111000000000000000000000000000001 +0 105 0001000000000000001000001111111111000000000000000000000000000001 +0 104 0001000000000000001000001111111111000000000000000000000000000001 +0 103 0001000000000000001000001111111111000000000000000000000000000001 +0 102 0001000000000000001000001111111111000000000000000000000000000001 +0 101 0001000000000000001000001111111111000000000000000000000000000001 +0 100 0001000000000000001000001111111111000000000000000000000000000001 +0 99 0001000000000000001000001111111111000000000000000000000000000001 +0 98 0001000000000000001000001111111111000000000000000000000000000001 +0 97 0001000000000000001000001111111111000000000000000000000000000001 +0 96 0001000000000000001000001111111111000000000000000000000000000001 +0 95 0001000000000000001000001111111111000000000000000000000000000001 +0 94 0001000000000000001000001111111111000000000000000000000000000001 +0 93 0001000000000000001000001111111111000000000000000000000000000001 +0 92 0001000000000000001000001111111111000000000000000000000000000001 +0 91 0001000000000000001000001111111111000000000000000000000000000001 +0 90 0001000000000000001000001111111111000000000000000000000000000001 +0 89 0001000000000000001000001111111111000000000000000000000000000001 +0 88 0001000000000000001000001111111111000000000000000000000000000001 +0 87 0001000000000000001000001111111111000000000000000000000000000001 +0 86 0001000000000000001000001111111111000000000000000000000000000001 +0 85 0001000000000000001000001111111111000000000000000000000000000001 +0 84 0001000000000000001000001111111111000000000000000000000000000001 +0 83 0001000000000000001000001111111111000000000000000000000000000001 +0 82 0001000000000000001000001111111111000000000000000000000000000001 +0 81 0001000000000000001000001111111111000000000000000000000000000001 +0 80 0001000000000000001000001111111111000000000000000000000000000001 +0 79 0001000000000000001000001111111111000000000000000000000000000001 +0 78 0001000000000000001000001111111111000000000000000000000000000001 +0 77 0001000000000000001000001111111111000000000000000000000000000001 +0 76 0001000000000000001000001111111111000000000000000000000000000001 +0 75 0001000000000000001000001111111111000000000000000000000000000001 +0 74 0001000000000000001000001111111111000000000000000000000000000001 +0 73 0001000000000000001000001111111111000000000000000000000000000001 +0 72 0001000000000000001000001111111111000000000000000000000000000001 +0 71 0001000000000000001000001111111111000000000000000000000000000001 +0 70 0001000000000000001000001111111111000000000000000000000000000001 +0 69 0001000000000000001000001111111111000000000000000000000000000001 +0 68 0001000000000000001000001111111111000000000000000000000000000001 +0 67 0001000000000000001000001111111111000000000000000000000000000001 +0 66 0001000000000000001000001111111111000000000000000000000000000001 +0 65 0001000000000000001000001111111111000000000000000000000000000001 +0 64 0001000000000000001000001111111111000000000000000000000000000001 +0 63 0001000000000000001000001111111111000000000000000000000000000001 +0 62 0001000000000000001000001111111111000000000000000000000000000001 +0 61 0001000000000000001000001111111111000000000000000000000000000001 +0 60 0001000000000000001000001111111111000000000000000000000000000001 +0 59 0001000000000000001000001111111111000000000000000000000000000001 +0 58 0001000000000000001000001111111111000000000000000000000000000001 +0 57 0001000000000000001000001111111111000000000000000000000000000001 +0 56 0001000000000000001000001111111111000000000000000000000000000001 +0 55 0001000000000000001000001111111111000000000000000000000000000001 +0 54 0001000000000000001000001111111111000000000000000000000000000001 +0 53 0001000000000000001000001111111111000000000000000000000000000001 +0 52 0001000000000000001000001111111111000000000000000000000000000001 +0 51 0001000000000000001000001111111111000000000000000000000000000001 +0 50 0001000000000000001000001111111111000000000000000000000000000001 +0 49 0001000000000000001000001111111111000000000000000000000000000001 +0 48 0001000000000000001000001111111111000000000000000000000000000001 +0 47 0001000000000000001000001111111111000000000000000000000000000001 +0 46 0001000000000000001000001111111111000000000000000000000000000001 +0 45 0001000000000000001000001111111111000000000000000000000000000001 +0 44 0001000000000000001000001111111111000000000000000000000000000001 +0 43 0001000000000000001000001111111111000000000000000000000000000001 +0 42 0001000000000000001000001111111111000000000000000000000000000001 +0 41 0001000000000000001000001111111111000000000000000000000000000001 +0 40 0001000000000000001000001111111111000000000000000000000000000001 +0 39 0001000000000000001000001111111111000000000000000000000000000001 +0 38 0001000000000000001000001111111111000000000000000000000000000001 +0 37 0001000000000000001000001111111111000000000000000000000000000001 +0 36 0001000000000000001000001111111111000000000000000000000000000001 +0 35 0001000000000000001000001111111111000000000000000000000000000001 +0 34 0001000000000000001000001111111111000000000000000000000000000001 +0 33 0001000000000000001000001111111111000000000000000000000000000001 +0 32 0001000000000000001000001111111111000000000000000000000000000001 +0 31 0001000000000000001000001111111111000000000000000000000000000001 +0 30 0001000000000000001000001111111111000000000000000000000000000001 +0 29 0001000000000000001000001111111111000000000000000000000000000001 +0 28 0001000000000000001000001111111111000000000000000000000000000001 +0 27 0001000000000000001000001111111111000000000000000000000000000001 +0 26 0001000000000000001000001111111111000000000000000000000000000001 +0 25 0001000000000000001000001111111111000000000000000000000000000001 +0 24 0001000000000000001000001111111111000000000000000000000000000001 +0 23 0001000000000000001000001111111111000000000000000000000000000001 +0 22 0001000000000000001000001111111111000000000000000000000000000001 +0 21 0001000000000000001000001111111111000000000000000000000000000001 +0 20 0001000000000000001000001111111111000000000000000000000000000001 +0 19 0001000000000000001000001111111111000000000000000000000000000001 +0 18 0001000000000000001000001111111111000000000000000000000000000001 +0 17 0001000000000000001000001111111111000000000000000000000000000001 +0 16 0001000000000000001000001111111111000000000000000000000000000001 +0 15 0001000000000000001000001111111111000000000000000000000000000001 +0 14 0001000000000000001000001111111111000000000000000000000000000001 +0 13 0001000000000000001000001111111111000000000000000000000000000001 +0 12 0001000000000000001000001111111111000000000000000000000000000001 +0 11 0001000000000000001000001111111111000000000000000000000000000001 +0 10 0001000000000000001000001111111111000000000000000000000000000001 +0 9 0001000000000000001000001111111111000000000000000000000000000001 +0 8 0001000000000000001000001111111111000000000000000000000000000001 +0 7 0001000000000000001000001111111111000000000000000000000000000001 +0 6 0001000000000000001000001111111111000000000000000000000000000001 +0 5 0001000000000000001000001111111111000000000000000000000000000001 +0 4 0001000000000000001000001111111111000000000000000000000000000001 +0 3 0001000000000000001000001111111111000000000000000000000000000001 +0 2 0001000000000000001000001111111111000000000000000000000000000001 +0 1 0001000000000000001000001111111111000000000000000000000000000001 +0 0 0001000000000000001000001111111111000000000000000000000000000001 +0 1023 0001000000000010110110111011111111000000000000000000000000000001 +0 1022 0001000000000010110110111011111111000000000000000000000000000001 +0 1021 0001000000000010110110111011111111000000000000000000000000000001 +0 1020 0001000000000010110110111011111111000000000000000000000000000001 +0 1019 0001000000000010110110111011111111000000000000000000000000000001 +0 1018 0001000000000010110110111011111111000000000000000000000000000001 +0 1017 0001000000000010110110111011111111000000000000000000000000000001 +0 1016 0001000000000010110110111011111111000000000000000000000000000001 +0 1015 0001000000000010110110111011111111000000000000000000000000000001 +0 1014 0001000000000010110110111011111111000000000000000000000000000001 +0 1013 0001000000000010110110111011111111000000000000000000000000000001 +0 1012 0001000000000010110110111011111111000000000000000000000000000001 +0 1011 0001000000000010110110111011111111000000000000000000000000000001 +0 1010 0001000000000010110110111011111111000000000000000000000000000001 +0 1009 0001000000000010110110111011111111000000000000000000000000000001 +0 1008 0001000000000010110110111011111111000000000000000000000000000001 +0 1007 0001000000000010110110111011111111000000000000000000000000000001 +0 1006 0001000000000010110110111011111111000000000000000000000000000001 +0 1005 0001000000000010110110111011111111000000000000000000000000000001 +0 1004 0001000000000010110110111011111111000000000000000000000000000001 +0 1003 0001000000000010110110111011111111000000000000000000000000000001 +0 1002 0001000000000010110110111011111111000000000000000000000000000001 +0 1001 0001000000000010110110111011111111000000000000000000000000000001 +0 1000 0001000000000010110110111011111111000000000000000000000000000001 +0 999 0001000000000010110110111011111111000000000000000000000000000001 +0 998 0001000000000010110110111011111111000000000000000000000000000001 +0 997 0001000000000010110110111011111111000000000000000000000000000001 +0 996 0001000000000010110110111011111111000000000000000000000000000001 +0 995 0001000000000010110110111011111111000000000000000000000000000001 +0 994 0001000000000010110110111011111111000000000000000000000000000001 +0 993 0001000000000010110110111011111111000000000000000000000000000001 +0 992 0001000000000010110110111011111111000000000000000000000000000001 +0 991 0001000000000010110110111011111111000000000000000000000000000001 +0 990 0001000000000010110110111011111111000000000000000000000000000001 +0 989 0001000000000010110110111011111111000000000000000000000000000001 +0 988 0001000000000010110110111011111111000000000000000000000000000001 +0 987 0001000000000010110110111011111111000000000000000000000000000001 +0 986 0001000000000010110110111011111111000000000000000000000000000001 +0 985 0001000000000010110110111011111111000000000000000000000000000001 +0 984 0001000000000010110110111011111111000000000000000000000000000001 +0 983 0001000000000010110110111011111111000000000000000000000000000001 +0 982 0001000000000010110110111011111111000000000000000000000000000001 +0 981 0001000000000010110110111011111111000000000000000000000000000001 +0 980 0001000000000010110110111011111111000000000000000000000000000001 +0 979 0001000000000010110110111011111111000000000000000000000000000001 +0 978 0001000000000010110110111011111111000000000000000000000000000001 +0 977 0001000000000010110110111011111111000000000000000000000000000001 +0 976 0001000000000010110110111011111111000000000000000000000000000001 +0 975 0001000000000010110110111011111111000000000000000000000000000001 +0 974 0001000000000010110110111011111111000000000000000000000000000001 +0 973 0001000000000010110110111011111111000000000000000000000000000001 +0 972 0001000000000010110110111011111111000000000000000000000000000001 +0 971 0001000000000010110110111011111111000000000000000000000000000001 +0 970 0001000000000010110110111011111111000000000000000000000000000001 +0 969 0001000000000010110110111011111111000000000000000000000000000001 +0 968 0001000000000010110110111011111111000000000000000000000000000001 +0 967 0001000000000010110110111011111111000000000000000000000000000001 +0 966 0001000000000010110110111011111111000000000000000000000000000001 +0 965 0001000000000010110110111011111111000000000000000000000000000001 +0 964 0001000000000010110110111011111111000000000000000000000000000001 +0 963 0001000000000010110110111011111111000000000000000000000000000001 +0 962 0001000000000010110110111011111111000000000000000000000000000001 +0 961 0001000000000010110110111011111111000000000000000000000000000001 +0 960 0001000000000010110110111011111111000000000000000000000000000001 +0 959 0001000000000010110110111011111111000000000000000000000000000001 +0 958 0001000000000010110110111011111111000000000000000000000000000001 +0 957 0001000000000010110110111011111111000000000000000000000000000001 +0 956 0001000000000010110110111011111111000000000000000000000000000001 +0 955 0001000000000010110110111011111111000000000000000000000000000001 +0 954 0001000000000010110110111011111111000000000000000000000000000001 +0 953 0001000000000010110110111011111111000000000000000000000000000001 +0 952 0001000000000010110110111011111111000000000000000000000000000001 +0 951 0001000000000010110110111011111111000000000000000000000000000001 +0 950 0001000000000010110110111011111111000000000000000000000000000001 +0 949 0001000000000010110110111011111111000000000000000000000000000001 +0 948 0001000000000010110110111011111111000000000000000000000000000001 +0 947 0001000000000010110110111011111111000000000000000000000000000001 +0 946 0001000000000010110110111011111111000000000000000000000000000001 +0 945 0001000000000010110110111011111111000000000000000000000000000001 +0 944 0001000000000010110110111011111111000000000000000000000000000001 +0 943 0001000000000010110110111011111111000000000000000000000000000001 +0 942 0001000000000010110110111011111111000000000000000000000000000001 +0 941 0001000000000010110110111011111111000000000000000000000000000001 +0 940 0001000000000010110110111011111111000000000000000000000000000001 +0 939 0001000000000010110110111011111111000000000000000000000000000001 +0 938 0001000000000010110110111011111111000000000000000000000000000001 +0 937 0001000000000010110110111011111111000000000000000000000000000001 +0 936 0001000000000010110110111011111111000000000000000000000000000001 +0 935 0001000000000010110110111011111111000000000000000000000000000001 +0 934 0001000000000010110110111011111111000000000000000000000000000001 +0 933 0001000000000010110110111011111111000000000000000000000000000001 +0 932 0001000000000010110110111011111111000000000000000000000000000001 +0 931 0001000000000010110110111011111111000000000000000000000000000001 +0 930 0001000000000010110110111011111111000000000000000000000000000001 +0 929 0001000000000010110110111011111111000000000000000000000000000001 +0 928 0001000000000010110110111011111111000000000000000000000000000001 +0 927 0001000000000010110110111011111111000000000000000000000000000001 +0 926 0001000000000010110110111011111111000000000000000000000000000001 +0 925 0001000000000010110110111011111111000000000000000000000000000001 +0 924 0001000000000010110110111011111111000000000000000000000000000001 +0 923 0001000000000010110110111011111111000000000000000000000000000001 +0 922 0001000000000010110110111011111111000000000000000000000000000001 +0 921 0001000000000010110110111011111111000000000000000000000000000001 +0 920 0001000000000010110110111011111111000000000000000000000000000001 +0 919 0001000000000010110110111011111111000000000000000000000000000001 +0 918 0001000000000010110110111011111111000000000000000000000000000001 +0 917 0001000000000010110110111011111111000000000000000000000000000001 +0 916 0001000000000010110110111011111111000000000000000000000000000001 +0 915 0001000000000010110110111011111111000000000000000000000000000001 +0 914 0001000000000010110110111011111111000000000000000000000000000001 +0 913 0001000000000010110110111011111111000000000000000000000000000001 +0 912 0001000000000010110110111011111111000000000000000000000000000001 +0 911 0001000000000010110110111011111111000000000000000000000000000001 +0 910 0001000000000010110110111011111111000000000000000000000000000001 +0 909 0001000000000010110110111011111111000000000000000000000000000001 +0 908 0001000000000010110110111011111111000000000000000000000000000001 +0 907 0001000000000010110110111011111111000000000000000000000000000001 +0 906 0001000000000010110110111011111111000000000000000000000000000001 +0 905 0001000000000010110110111011111111000000000000000000000000000001 +0 904 0001000000000010110110111011111111000000000000000000000000000001 +0 903 0001000000000010110110111011111111000000000000000000000000000001 +0 902 0001000000000010110110111011111111000000000000000000000000000001 +0 901 0001000000000010110110111011111111000000000000000000000000000001 +0 900 0001000000000010110110111011111111000000000000000000000000000001 +0 899 0001000000000010110110111011111111000000000000000000000000000001 +0 898 0001000000000010110110111011111111000000000000000000000000000001 +0 897 0001000000000010110110111011111111000000000000000000000000000001 +0 896 0001000000000010110110111011111111000000000000000000000000000001 +0 767 0001000000000010110110111011111111000000000000000000000000000001 +0 766 0001000000000010110110111011111111000000000000000000000000000001 +0 765 0001000000000010110110111011111111000000000000000000000000000001 +0 764 0001000000000010110110111011111111000000000000000000000000000001 +0 763 0001000000000010110110111011111111000000000000000000000000000001 +0 762 0001000000000010110110111011111111000000000000000000000000000001 +0 761 0001000000000010110110111011111111000000000000000000000000000001 +0 760 0001000000000010110110111011111111000000000000000000000000000001 +0 759 0001000000000010110110111011111111000000000000000000000000000001 +0 758 0001000000000010110110111011111111000000000000000000000000000001 +0 757 0001000000000010110110111011111111000000000000000000000000000001 +0 756 0001000000000010110110111011111111000000000000000000000000000001 +0 755 0001000000000010110110111011111111000000000000000000000000000001 +0 754 0001000000000010110110111011111111000000000000000000000000000001 +0 753 0001000000000010110110111011111111000000000000000000000000000001 +0 752 0001000000000010110110111011111111000000000000000000000000000001 +0 751 0001000000000010110110111011111111000000000000000000000000000001 +0 750 0001000000000010110110111011111111000000000000000000000000000001 +0 749 0001000000000010110110111011111111000000000000000000000000000001 +0 748 0001000000000010110110111011111111000000000000000000000000000001 +0 747 0001000000000010110110111011111111000000000000000000000000000001 +0 746 0001000000000010110110111011111111000000000000000000000000000001 +0 745 0001000000000010110110111011111111000000000000000000000000000001 +0 744 0001000000000010110110111011111111000000000000000000000000000001 +0 743 0001000000000010110110111011111111000000000000000000000000000001 +0 742 0001000000000010110110111011111111000000000000000000000000000001 +0 741 0001000000000010110110111011111111000000000000000000000000000001 +0 740 0001000000000010110110111011111111000000000000000000000000000001 +0 739 0001000000000010110110111011111111000000000000000000000000000001 +0 738 0001000000000010110110111011111111000000000000000000000000000001 +0 737 0001000000000010110110111011111111000000000000000000000000000001 +0 736 0001000000000010110110111011111111000000000000000000000000000001 +0 735 0001000000000010110110111011111111000000000000000000000000000001 +0 734 0001000000000010110110111011111111000000000000000000000000000001 +0 733 0001000000000010110110111011111111000000000000000000000000000001 +0 732 0001000000000010110110111011111111000000000000000000000000000001 +0 731 0001000000000010110110111011111111000000000000000000000000000001 +0 730 0001000000000010110110111011111111000000000000000000000000000001 +0 729 0001000000000010110110111011111111000000000000000000000000000001 +0 728 0001000000000010110110111011111111000000000000000000000000000001 +0 727 0001000000000010110110111011111111000000000000000000000000000001 +0 726 0001000000000010110110111011111111000000000000000000000000000001 +0 725 0001000000000010110110111011111111000000000000000000000000000001 +0 724 0001000000000010110110111011111111000000000000000000000000000001 +0 723 0001000000000010110110111011111111000000000000000000000000000001 +0 722 0001000000000010110110111011111111000000000000000000000000000001 +0 721 0001000000000010110110111011111111000000000000000000000000000001 +0 720 0001000000000010110110111011111111000000000000000000000000000001 +0 719 0001000000000010110110111011111111000000000000000000000000000001 +0 718 0001000000000010110110111011111111000000000000000000000000000001 +0 717 0001000000000010110110111011111111000000000000000000000000000001 +0 716 0001000000000010110110111011111111000000000000000000000000000001 +0 715 0001000000000010110110111011111111000000000000000000000000000001 +0 714 0001000000000010110110111011111111000000000000000000000000000001 +0 713 0001000000000010110110111011111111000000000000000000000000000001 +0 712 0001000000000010110110111011111111000000000000000000000000000001 +0 711 0001000000000010110110111011111111000000000000000000000000000001 +0 710 0001000000000010110110111011111111000000000000000000000000000001 +0 709 0001000000000010110110111011111111000000000000000000000000000001 +0 708 0001000000000010110110111011111111000000000000000000000000000001 +0 707 0001000000000010110110111011111111000000000000000000000000000001 +0 706 0001000000000010110110111011111111000000000000000000000000000001 +0 705 0001000000000010110110111011111111000000000000000000000000000001 +0 704 0001000000000010110110111011111111000000000000000000000000000001 +0 703 0001000000000010110110111011111111000000000000000000000000000001 +0 702 0001000000000010110110111011111111000000000000000000000000000001 +0 701 0001000000000010110110111011111111000000000000000000000000000001 +0 700 0001000000000010110110111011111111000000000000000000000000000001 +0 699 0001000000000010110110111011111111000000000000000000000000000001 +0 698 0001000000000010110110111011111111000000000000000000000000000001 +0 697 0001000000000010110110111011111111000000000000000000000000000001 +0 696 0001000000000010110110111011111111000000000000000000000000000001 +0 695 0001000000000010110110111011111111000000000000000000000000000001 +0 694 0001000000000010110110111011111111000000000000000000000000000001 +0 693 0001000000000010110110111011111111000000000000000000000000000001 +0 692 0001000000000010110110111011111111000000000000000000000000000001 +0 691 0001000000000010110110111011111111000000000000000000000000000001 +0 690 0001000000000010110110111011111111000000000000000000000000000001 +0 689 0001000000000010110110111011111111000000000000000000000000000001 +0 688 0001000000000010110110111011111111000000000000000000000000000001 +0 687 0001000000000010110110111011111111000000000000000000000000000001 +0 686 0001000000000010110110111011111111000000000000000000000000000001 +0 685 0001000000000010110110111011111111000000000000000000000000000001 +0 684 0001000000000010110110111011111111000000000000000000000000000001 +0 683 0001000000000010110110111011111111000000000000000000000000000001 +0 682 0001000000000010110110111011111111000000000000000000000000000001 +0 681 0001000000000010110110111011111111000000000000000000000000000001 +0 680 0001000000000010110110111011111111000000000000000000000000000001 +0 679 0001000000000010110110111011111111000000000000000000000000000001 +0 678 0001000000000010110110111011111111000000000000000000000000000001 +0 677 0001000000000010110110111011111111000000000000000000000000000001 +0 676 0001000000000010110110111011111111000000000000000000000000000001 +0 675 0001000000000010110110111011111111000000000000000000000000000001 +0 674 0001000000000010110110111011111111000000000000000000000000000001 +0 673 0001000000000010110110111011111111000000000000000000000000000001 +0 672 0001000000000010110110111011111111000000000000000000000000000001 +0 671 0001000000000010110110111011111111000000000000000000000000000001 +0 670 0001000000000010110110111011111111000000000000000000000000000001 +0 669 0001000000000010110110111011111111000000000000000000000000000001 +0 668 0001000000000010110110111011111111000000000000000000000000000001 +0 667 0001000000000010110110111011111111000000000000000000000000000001 +0 666 0001000000000010110110111011111111000000000000000000000000000001 +0 665 0001000000000010110110111011111111000000000000000000000000000001 +0 664 0001000000000010110110111011111111000000000000000000000000000001 +0 663 0001000000000010110110111011111111000000000000000000000000000001 +0 662 0001000000000010110110111011111111000000000000000000000000000001 +0 661 0001000000000010110110111011111111000000000000000000000000000001 +0 660 0001000000000010110110111011111111000000000000000000000000000001 +0 659 0001000000000010110110111011111111000000000000000000000000000001 +0 658 0001000000000010110110111011111111000000000000000000000000000001 +0 657 0001000000000010110110111011111111000000000000000000000000000001 +0 656 0001000000000010110110111011111111000000000000000000000000000001 +0 655 0001000000000010110110111011111111000000000000000000000000000001 +0 654 0001000000000010110110111011111111000000000000000000000000000001 +0 653 0001000000000010110110111011111111000000000000000000000000000001 +0 652 0001000000000010110110111011111111000000000000000000000000000001 +0 651 0001000000000010110110111011111111000000000000000000000000000001 +0 650 0001000000000010110110111011111111000000000000000000000000000001 +0 649 0001000000000010110110111011111111000000000000000000000000000001 +0 648 0001000000000010110110111011111111000000000000000000000000000001 +0 647 0001000000000010110110111011111111000000000000000000000000000001 +0 646 0001000000000010110110111011111111000000000000000000000000000001 +0 645 0001000000000010110110111011111111000000000000000000000000000001 +0 644 0001000000000010110110111011111111000000000000000000000000000001 +0 643 0001000000000010110110111011111111000000000000000000000000000001 +0 642 0001000000000010110110111011111111000000000000000000000000000001 +0 641 0001000000000010110110111011111111000000000000000000000000000001 +0 640 0001000000000010110110111011111111000000000000000000000000000001 +0 511 0001000000000010110110111011111111000000000000000000000000000001 +0 510 0001000000000010110110111011111111000000000000000000000000000001 +0 509 0001000000000010110110111011111111000000000000000000000000000001 +0 508 0001000000000010110110111011111111000000000000000000000000000001 +0 507 0001000000000010110110111011111111000000000000000000000000000001 +0 506 0001000000000010110110111011111111000000000000000000000000000001 +0 505 0001000000000010110110111011111111000000000000000000000000000001 +0 504 0001000000000010110110111011111111000000000000000000000000000001 +0 503 0001000000000010110110111011111111000000000000000000000000000001 +0 502 0001000000000010110110111011111111000000000000000000000000000001 +0 501 0001000000000010110110111011111111000000000000000000000000000001 +0 500 0001000000000010110110111011111111000000000000000000000000000001 +0 499 0001000000000010110110111011111111000000000000000000000000000001 +0 498 0001000000000010110110111011111111000000000000000000000000000001 +0 497 0001000000000010110110111011111111000000000000000000000000000001 +0 496 0001000000000010110110111011111111000000000000000000000000000001 +0 495 0001000000000010110110111011111111000000000000000000000000000001 +0 494 0001000000000010110110111011111111000000000000000000000000000001 +0 493 0001000000000010110110111011111111000000000000000000000000000001 +0 492 0001000000000010110110111011111111000000000000000000000000000001 +0 491 0001000000000010110110111011111111000000000000000000000000000001 +0 490 0001000000000010110110111011111111000000000000000000000000000001 +0 489 0001000000000010110110111011111111000000000000000000000000000001 +0 488 0001000000000010110110111011111111000000000000000000000000000001 +0 487 0001000000000010110110111011111111000000000000000000000000000001 +0 486 0001000000000010110110111011111111000000000000000000000000000001 +0 485 0001000000000010110110111011111111000000000000000000000000000001 +0 484 0001000000000010110110111011111111000000000000000000000000000001 +0 483 0001000000000010110110111011111111000000000000000000000000000001 +0 482 0001000000000010110110111011111111000000000000000000000000000001 +0 481 0001000000000010110110111011111111000000000000000000000000000001 +0 480 0001000000000010110110111011111111000000000000000000000000000001 +0 479 0001000000000010110110111011111111000000000000000000000000000001 +0 478 0001000000000010110110111011111111000000000000000000000000000001 +0 477 0001000000000010110110111011111111000000000000000000000000000001 +0 476 0001000000000010110110111011111111000000000000000000000000000001 +0 475 0001000000000010110110111011111111000000000000000000000000000001 +0 474 0001000000000010110110111011111111000000000000000000000000000001 +0 473 0001000000000010110110111011111111000000000000000000000000000001 +0 472 0001000000000010110110111011111111000000000000000000000000000001 +0 471 0001000000000010110110111011111111000000000000000000000000000001 +0 470 0001000000000010110110111011111111000000000000000000000000000001 +0 469 0001000000000010110110111011111111000000000000000000000000000001 +0 468 0001000000000010110110111011111111000000000000000000000000000001 +0 467 0001000000000010110110111011111111000000000000000000000000000001 +0 466 0001000000000010110110111011111111000000000000000000000000000001 +0 465 0001000000000010110110111011111111000000000000000000000000000001 +0 464 0001000000000010110110111011111111000000000000000000000000000001 +0 463 0001000000000010110110111011111111000000000000000000000000000001 +0 462 0001000000000010110110111011111111000000000000000000000000000001 +0 461 0001000000000010110110111011111111000000000000000000000000000001 +0 460 0001000000000010110110111011111111000000000000000000000000000001 +0 459 0001000000000010110110111011111111000000000000000000000000000001 +0 458 0001000000000010110110111011111111000000000000000000000000000001 +0 457 0001000000000010110110111011111111000000000000000000000000000001 +0 456 0001000000000010110110111011111111000000000000000000000000000001 +0 455 0001000000000010110110111011111111000000000000000000000000000001 +0 454 0001000000000010110110111011111111000000000000000000000000000001 +0 453 0001000000000010110110111011111111000000000000000000000000000001 +0 452 0001000000000010110110111011111111000000000000000000000000000001 +0 451 0001000000000010110110111011111111000000000000000000000000000001 +0 450 0001000000000010110110111011111111000000000000000000000000000001 +0 449 0001000000000010110110111011111111000000000000000000000000000001 +0 448 0001000000000010110110111011111111000000000000000000000000000001 +0 447 0001000000000010110110111011111111000000000000000000000000000001 +0 446 0001000000000010110110111011111111000000000000000000000000000001 +0 445 0001000000000010110110111011111111000000000000000000000000000001 +0 444 0001000000000010110110111011111111000000000000000000000000000001 +0 443 0001000000000010110110111011111111000000000000000000000000000001 +0 442 0001000000000010110110111011111111000000000000000000000000000001 +0 441 0001000000000010110110111011111111000000000000000000000000000001 +0 440 0001000000000010110110111011111111000000000000000000000000000001 +0 439 0001000000000010110110111011111111000000000000000000000000000001 +0 438 0001000000000010110110111011111111000000000000000000000000000001 +0 437 0001000000000010110110111011111111000000000000000000000000000001 +0 436 0001000000000010110110111011111111000000000000000000000000000001 +0 435 0001000000000010110110111011111111000000000000000000000000000001 +0 434 0001000000000010110110111011111111000000000000000000000000000001 +0 433 0001000000000010110110111011111111000000000000000000000000000001 +0 432 0001000000000010110110111011111111000000000000000000000000000001 +0 431 0001000000000010110110111011111111000000000000000000000000000001 +0 430 0001000000000010110110111011111111000000000000000000000000000001 +0 429 0001000000000010110110111011111111000000000000000000000000000001 +0 428 0001000000000010110110111011111111000000000000000000000000000001 +0 427 0001000000000010110110111011111111000000000000000000000000000001 +0 426 0001000000000010110110111011111111000000000000000000000000000001 +0 425 0001000000000010110110111011111111000000000000000000000000000001 +0 424 0001000000000010110110111011111111000000000000000000000000000001 +0 423 0001000000000010110110111011111111000000000000000000000000000001 +0 422 0001000000000010110110111011111111000000000000000000000000000001 +0 421 0001000000000010110110111011111111000000000000000000000000000001 +0 420 0001000000000010110110111011111111000000000000000000000000000001 +0 419 0001000000000010110110111011111111000000000000000000000000000001 +0 418 0001000000000010110110111011111111000000000000000000000000000001 +0 417 0001000000000010110110111011111111000000000000000000000000000001 +0 416 0001000000000010110110111011111111000000000000000000000000000001 +0 415 0001000000000010110110111011111111000000000000000000000000000001 +0 414 0001000000000010110110111011111111000000000000000000000000000001 +0 413 0001000000000010110110111011111111000000000000000000000000000001 +0 412 0001000000000010110110111011111111000000000000000000000000000001 +0 411 0001000000000010110110111011111111000000000000000000000000000001 +0 410 0001000000000010110110111011111111000000000000000000000000000001 +0 409 0001000000000010110110111011111111000000000000000000000000000001 +0 408 0001000000000010110110111011111111000000000000000000000000000001 +0 407 0001000000000010110110111011111111000000000000000000000000000001 +0 406 0001000000000010110110111011111111000000000000000000000000000001 +0 405 0001000000000010110110111011111111000000000000000000000000000001 +0 404 0001000000000010110110111011111111000000000000000000000000000001 +0 403 0001000000000010110110111011111111000000000000000000000000000001 +0 402 0001000000000010110110111011111111000000000000000000000000000001 +0 401 0001000000000010110110111011111111000000000000000000000000000001 +0 400 0001000000000010110110111011111111000000000000000000000000000001 +0 399 0001000000000010110110111011111111000000000000000000000000000001 +0 398 0001000000000010110110111011111111000000000000000000000000000001 +0 397 0001000000000010110110111011111111000000000000000000000000000001 +0 396 0001000000000010110110111011111111000000000000000000000000000001 +0 395 0001000000000010110110111011111111000000000000000000000000000001 +0 394 0001000000000010110110111011111111000000000000000000000000000001 +0 393 0001000000000010110110111011111111000000000000000000000000000001 +0 392 0001000000000010110110111011111111000000000000000000000000000001 +0 391 0001000000000010110110111011111111000000000000000000000000000001 +0 390 0001000000000010110110111011111111000000000000000000000000000001 +0 389 0001000000000010110110111011111111000000000000000000000000000001 +0 388 0001000000000010110110111011111111000000000000000000000000000001 +0 387 0001000000000010110110111011111111000000000000000000000000000001 +0 386 0001000000000010110110111011111111000000000000000000000000000001 +0 385 0001000000000010110110111011111111000000000000000000000000000001 +0 384 0001000000000010110110111011111111000000000000000000000000000001 +0 255 0001000000000010110110111011111111000000000000000000000000000001 +0 254 0001000000000010110110111011111111000000000000000000000000000001 +0 253 0001000000000010110110111011111111000000000000000000000000000001 +0 252 0001000000000010110110111011111111000000000000000000000000000001 +0 251 0001000000000010110110111011111111000000000000000000000000000001 +0 250 0001000000000010110110111011111111000000000000000000000000000001 +0 249 0001000000000010110110111011111111000000000000000000000000000001 +0 248 0001000000000010110110111011111111000000000000000000000000000001 +0 247 0001000000000010110110111011111111000000000000000000000000000001 +0 246 0001000000000010110110111011111111000000000000000000000000000001 +0 245 0001000000000010110110111011111111000000000000000000000000000001 +0 244 0001000000000010110110111011111111000000000000000000000000000001 +0 243 0001000000000010110110111011111111000000000000000000000000000001 +0 242 0001000000000010110110111011111111000000000000000000000000000001 +0 241 0001000000000010110110111011111111000000000000000000000000000001 +0 240 0001000000000010110110111011111111000000000000000000000000000001 +0 239 0001000000000010110110111011111111000000000000000000000000000001 +0 238 0001000000000010110110111011111111000000000000000000000000000001 +0 237 0001000000000010110110111011111111000000000000000000000000000001 +0 236 0001000000000010110110111011111111000000000000000000000000000001 +0 235 0001000000000010110110111011111111000000000000000000000000000001 +0 234 0001000000000010110110111011111111000000000000000000000000000001 +0 233 0001000000000010110110111011111111000000000000000000000000000001 +0 232 0001000000000010110110111011111111000000000000000000000000000001 +0 231 0001000000000010110110111011111111000000000000000000000000000001 +0 230 0001000000000010110110111011111111000000000000000000000000000001 +0 229 0001000000000010110110111011111111000000000000000000000000000001 +0 228 0001000000000010110110111011111111000000000000000000000000000001 +0 227 0001000000000010110110111011111111000000000000000000000000000001 +0 226 0001000000000010110110111011111111000000000000000000000000000001 +0 225 0001000000000010110110111011111111000000000000000000000000000001 +0 224 0001000000000010110110111011111111000000000000000000000000000001 +0 223 0001000000000010110110111011111111000000000000000000000000000001 +0 222 0001000000000010110110111011111111000000000000000000000000000001 +0 221 0001000000000010110110111011111111000000000000000000000000000001 +0 220 0001000000000010110110111011111111000000000000000000000000000001 +0 219 0001000000000010110110111011111111000000000000000000000000000001 +0 218 0001000000000010110110111011111111000000000000000000000000000001 +0 217 0001000000000010110110111011111111000000000000000000000000000001 +0 216 0001000000000010110110111011111111000000000000000000000000000001 +0 215 0001000000000010110110111011111111000000000000000000000000000001 +0 214 0001000000000010110110111011111111000000000000000000000000000001 +0 213 0001000000000010110110111011111111000000000000000000000000000001 +0 212 0001000000000010110110111011111111000000000000000000000000000001 +0 211 0001000000000010110110111011111111000000000000000000000000000001 +0 210 0001000000000010110110111011111111000000000000000000000000000001 +0 209 0001000000000010110110111011111111000000000000000000000000000001 +0 208 0001000000000010110110111011111111000000000000000000000000000001 +0 207 0001000000000010110110111011111111000000000000000000000000000001 +0 206 0001000000000010110110111011111111000000000000000000000000000001 +0 205 0001000000000010110110111011111111000000000000000000000000000001 +0 204 0001000000000010110110111011111111000000000000000000000000000001 +0 203 0001000000000010110110111011111111000000000000000000000000000001 +0 202 0001000000000010110110111011111111000000000000000000000000000001 +0 201 0001000000000010110110111011111111000000000000000000000000000001 +0 200 0001000000000010110110111011111111000000000000000000000000000001 +0 199 0001000000000010110110111011111111000000000000000000000000000001 +0 198 0001000000000010110110111011111111000000000000000000000000000001 +0 197 0001000000000010110110111011111111000000000000000000000000000001 +0 196 0001000000000010110110111011111111000000000000000000000000000001 +0 195 0001000000000010110110111011111111000000000000000000000000000001 +0 194 0001000000000010110110111011111111000000000000000000000000000001 +0 193 0001000000000010110110111011111111000000000000000000000000000001 +0 192 0001000000000010110110111011111111000000000000000000000000000001 +0 191 0001000000000010110110111011111111000000000000000000000000000001 +0 190 0001000000000010110110111011111111000000000000000000000000000001 +0 189 0001000000000010110110111011111111000000000000000000000000000001 +0 188 0001000000000010110110111011111111000000000000000000000000000001 +0 187 0001000000000010110110111011111111000000000000000000000000000001 +0 186 0001000000000010110110111011111111000000000000000000000000000001 +0 185 0001000000000010110110111011111111000000000000000000000000000001 +0 184 0001000000000010110110111011111111000000000000000000000000000001 +0 183 0001000000000010110110111011111111000000000000000000000000000001 +0 182 0001000000000010110110111011111111000000000000000000000000000001 +0 181 0001000000000010110110111011111111000000000000000000000000000001 +0 180 0001000000000010110110111011111111000000000000000000000000000001 +0 179 0001000000000010110110111011111111000000000000000000000000000001 +0 178 0001000000000010110110111011111111000000000000000000000000000001 +0 177 0001000000000010110110111011111111000000000000000000000000000001 +0 176 0001000000000010110110111011111111000000000000000000000000000001 +0 175 0001000000000010110110111011111111000000000000000000000000000001 +0 174 0001000000000010110110111011111111000000000000000000000000000001 +0 173 0001000000000010110110111011111111000000000000000000000000000001 +0 172 0001000000000010110110111011111111000000000000000000000000000001 +0 171 0001000000000010110110111011111111000000000000000000000000000001 +0 170 0001000000000010110110111011111111000000000000000000000000000001 +0 169 0001000000000010110110111011111111000000000000000000000000000001 +0 168 0001000000000010110110111011111111000000000000000000000000000001 +0 167 0001000000000010110110111011111111000000000000000000000000000001 +0 166 0001000000000010110110111011111111000000000000000000000000000001 +0 165 0001000000000010110110111011111111000000000000000000000000000001 +0 164 0001000000000010110110111011111111000000000000000000000000000001 +0 163 0001000000000010110110111011111111000000000000000000000000000001 +0 162 0001000000000010110110111011111111000000000000000000000000000001 +0 161 0001000000000010110110111011111111000000000000000000000000000001 +0 160 0001000000000010110110111011111111000000000000000000000000000001 +0 159 0001000000000010110110111011111111000000000000000000000000000001 +0 158 0001000000000010110110111011111111000000000000000000000000000001 +0 157 0001000000000010110110111011111111000000000000000000000000000001 +0 156 0001000000000010110110111011111111000000000000000000000000000001 +0 155 0001000000000010110110111011111111000000000000000000000000000001 +0 154 0001000000000010110110111011111111000000000000000000000000000001 +0 153 0001000000000010110110111011111111000000000000000000000000000001 +0 152 0001000000000010110110111011111111000000000000000000000000000001 +0 151 0001000000000010110110111011111111000000000000000000000000000001 +0 150 0001000000000010110110111011111111000000000000000000000000000001 +0 149 0001000000000010110110111011111111000000000000000000000000000001 +0 148 0001000000000010110110111011111111000000000000000000000000000001 +0 147 0001000000000010110110111011111111000000000000000000000000000001 +0 146 0001000000000010110110111011111111000000000000000000000000000001 +0 145 0001000000000010110110111011111111000000000000000000000000000001 +0 144 0001000000000010110110111011111111000000000000000000000000000001 +0 143 0001000000000010110110111011111111000000000000000000000000000001 +0 142 0001000000000010110110111011111111000000000000000000000000000001 +0 141 0001000000000010110110111011111111000000000000000000000000000001 +0 140 0001000000000010110110111011111111000000000000000000000000000001 +0 139 0001000000000010110110111011111111000000000000000000000000000001 +0 138 0001000000000010110110111011111111000000000000000000000000000001 +0 137 0001000000000010110110111011111111000000000000000000000000000001 +0 136 0001000000000010110110111011111111000000000000000000000000000001 +0 135 0001000000000010110110111011111111000000000000000000000000000001 +0 134 0001000000000010110110111011111111000000000000000000000000000001 +0 133 0001000000000010110110111011111111000000000000000000000000000001 +0 132 0001000000000010110110111011111111000000000000000000000000000001 +0 131 0001000000000010110110111011111111000000000000000000000000000001 +0 130 0001000000000010110110111011111111000000000000000000000000000001 +0 129 0001000000000010110110111011111111000000000000000000000000000001 +0 128 0001000000000010110110111011111111000000000000000000000000000001 +0 75 0001000000000011100101100000000000000000000000000000000000000001 diff --git a/test/input/noc_tests/random_pe_to_pe_test/simulation.log b/test/input/noc_tests/random_pe_to_pe_test/simulation.log index e69fb58..05b1b3a 100644 --- a/test/input/noc_tests/random_pe_to_pe_test/simulation.log +++ b/test/input/noc_tests/random_pe_to_pe_test/simulation.log @@ -1,65 +1,1072 @@ -0 221 0001000000000010101100000000000000000000000000000000000000000001 -0 145 0001000000000010100110001011110010000000000000000000000000000001 -0 147 0001000000000010100110001011110010000000000000000000000000000001 -0 149 0001000000000010100110001011110010000000000000000000000000000001 -0 151 0001000000000010100110001011110010000000000000000000000000000001 -0 153 0001000000000010100110001011110010000000000000000000000000000001 -0 155 0001000000000010100110001011110010000000000000000000000000000001 -0 157 0001000000000010100110001011110010000000000000000000000000000001 -0 159 0001000000000010100110001011110010000000000000000000000000000001 -0 177 0001000000000010100110001011110010000000000000000000000000000001 -0 179 0001000000000010100110001011110010000000000000000000000000000001 -0 181 0001000000000010100110001011110010000000000000000000000000000001 -0 183 0001000000000010100110001011110010000000000000000000000000000001 -0 185 0001000000000010100110001011110010000000000000000000000000000001 -0 187 0001000000000010100110001011110010000000000000000000000000000001 -0 189 0001000000000010100110001011110010000000000000000000000000000001 -0 191 0001000000000010100110001011110010000000000000000000000000000001 -0 401 0001000000000010100110001011110010000000000000000000000000000001 -0 403 0001000000000010100110001011110010000000000000000000000000000001 -0 405 0001000000000010100110001011110010000000000000000000000000000001 -0 407 0001000000000010100110001011110010000000000000000000000000000001 -0 409 0001000000000010100110001011110010000000000000000000000000000001 -0 411 0001000000000010100110001011110010000000000000000000000000000001 -0 413 0001000000000010100110001011110010000000000000000000000000000001 -0 415 0001000000000010100110001011110010000000000000000000000000000001 -0 433 0001000000000010100110001011110010000000000000000000000000000001 -0 435 0001000000000010100110001011110010000000000000000000000000000001 -0 437 0001000000000010100110001011110010000000000000000000000000000001 -0 439 0001000000000010100110001011110010000000000000000000000000000001 -0 441 0001000000000010100110001011110010000000000000000000000000000001 -0 443 0001000000000010100110001011110010000000000000000000000000000001 -0 445 0001000000000010100110001011110010000000000000000000000000000001 -0 447 0001000000000010100110001011110010000000000000000000000000000001 -0 657 0001000000000010100110001011110010000000000000000000000000000001 -0 659 0001000000000010100110001011110010000000000000000000000000000001 -0 661 0001000000000010100110001011110010000000000000000000000000000001 -0 663 0001000000000010100110001011110010000000000000000000000000000001 -0 665 0001000000000010100110001011110010000000000000000000000000000001 -0 667 0001000000000010100110001011110010000000000000000000000000000001 -0 669 0001000000000010100110001011110010000000000000000000000000000001 -0 671 0001000000000010100110001011110010000000000000000000000000000001 -0 689 0001000000000010100110001011110010000000000000000000000000000001 -0 691 0001000000000010100110001011110010000000000000000000000000000001 -0 693 0001000000000010100110001011110010000000000000000000000000000001 -0 695 0001000000000010100110001011110010000000000000000000000000000001 -0 697 0001000000000010100110001011110010000000000000000000000000000001 -0 699 0001000000000010100110001011110010000000000000000000000000000001 -0 701 0001000000000010100110001011110010000000000000000000000000000001 -0 703 0001000000000010100110001011110010000000000000000000000000000001 -0 913 0001000000000010100110001011110010000000000000000000000000000001 -0 915 0001000000000010100110001011110010000000000000000000000000000001 -0 917 0001000000000010100110001011110010000000000000000000000000000001 -0 919 0001000000000010100110001011110010000000000000000000000000000001 -0 921 0001000000000010100110001011110010000000000000000000000000000001 -0 923 0001000000000010100110001011110010000000000000000000000000000001 -0 925 0001000000000010100110001011110010000000000000000000000000000001 -0 927 0001000000000010100110001011110010000000000000000000000000000001 -0 945 0001000000000010100110001011110010000000000000000000000000000001 -0 947 0001000000000010100110001011110010000000000000000000000000000001 -0 949 0001000000000010100110001011110010000000000000000000000000000001 -0 951 0001000000000010100110001011110010000000000000000000000000000001 -0 953 0001000000000010100110001011110010000000000000000000000000000001 -0 955 0001000000000010100110001011110010000000000000000000000000000001 -0 957 0001000000000010100110001011110010000000000000000000000000000001 -0 959 0001000000000010100110001011110010000000000000000000000000000001 +0 75 0001000000000011100101100000000000000000000000000000000000000001 +0 787 0001000000000001110010100000000000000000000000000000000000000001 +0 0 0001000000000000001000001111111111000000000000000000000000000001 +0 1 0001000000000000001000001111111111000000000000000000000000000001 +0 2 0001000000000000001000001111111111000000000000000000000000000001 +0 3 0001000000000000001000001111111111000000000000000000000000000001 +0 4 0001000000000000001000001111111111000000000000000000000000000001 +0 5 0001000000000000001000001111111111000000000000000000000000000001 +0 6 0001000000000000001000001111111111000000000000000000000000000001 +0 7 0001000000000000001000001111111111000000000000000000000000000001 +0 8 0001000000000000001000001111111111000000000000000000000000000001 +0 9 0001000000000000001000001111111111000000000000000000000000000001 +0 10 0001000000000000001000001111111111000000000000000000000000000001 +0 11 0001000000000000001000001111111111000000000000000000000000000001 +0 12 0001000000000000001000001111111111000000000000000000000000000001 +0 13 0001000000000000001000001111111111000000000000000000000000000001 +0 14 0001000000000000001000001111111111000000000000000000000000000001 +0 15 0001000000000000001000001111111111000000000000000000000000000001 +0 16 0001000000000000001000001111111111000000000000000000000000000001 +0 17 0001000000000000001000001111111111000000000000000000000000000001 +0 18 0001000000000000001000001111111111000000000000000000000000000001 +0 19 0001000000000000001000001111111111000000000000000000000000000001 +0 20 0001000000000000001000001111111111000000000000000000000000000001 +0 21 0001000000000000001000001111111111000000000000000000000000000001 +0 22 0001000000000000001000001111111111000000000000000000000000000001 +0 23 0001000000000000001000001111111111000000000000000000000000000001 +0 24 0001000000000000001000001111111111000000000000000000000000000001 +0 25 0001000000000000001000001111111111000000000000000000000000000001 +0 26 0001000000000000001000001111111111000000000000000000000000000001 +0 27 0001000000000000001000001111111111000000000000000000000000000001 +0 28 0001000000000000001000001111111111000000000000000000000000000001 +0 29 0001000000000000001000001111111111000000000000000000000000000001 +0 30 0001000000000000001000001111111111000000000000000000000000000001 +0 31 0001000000000000001000001111111111000000000000000000000000000001 +0 32 0001000000000000001000001111111111000000000000000000000000000001 +0 33 0001000000000000001000001111111111000000000000000000000000000001 +0 34 0001000000000000001000001111111111000000000000000000000000000001 +0 35 0001000000000000001000001111111111000000000000000000000000000001 +0 36 0001000000000000001000001111111111000000000000000000000000000001 +0 37 0001000000000000001000001111111111000000000000000000000000000001 +0 38 0001000000000000001000001111111111000000000000000000000000000001 +0 39 0001000000000000001000001111111111000000000000000000000000000001 +0 40 0001000000000000001000001111111111000000000000000000000000000001 +0 41 0001000000000000001000001111111111000000000000000000000000000001 +0 42 0001000000000000001000001111111111000000000000000000000000000001 +0 43 0001000000000000001000001111111111000000000000000000000000000001 +0 44 0001000000000000001000001111111111000000000000000000000000000001 +0 45 0001000000000000001000001111111111000000000000000000000000000001 +0 46 0001000000000000001000001111111111000000000000000000000000000001 +0 47 0001000000000000001000001111111111000000000000000000000000000001 +0 48 0001000000000000001000001111111111000000000000000000000000000001 +0 49 0001000000000000001000001111111111000000000000000000000000000001 +0 50 0001000000000000001000001111111111000000000000000000000000000001 +0 51 0001000000000000001000001111111111000000000000000000000000000001 +0 52 0001000000000000001000001111111111000000000000000000000000000001 +0 53 0001000000000000001000001111111111000000000000000000000000000001 +0 54 0001000000000000001000001111111111000000000000000000000000000001 +0 55 0001000000000000001000001111111111000000000000000000000000000001 +0 56 0001000000000000001000001111111111000000000000000000000000000001 +0 57 0001000000000000001000001111111111000000000000000000000000000001 +0 58 0001000000000000001000001111111111000000000000000000000000000001 +0 59 0001000000000000001000001111111111000000000000000000000000000001 +0 60 0001000000000000001000001111111111000000000000000000000000000001 +0 61 0001000000000000001000001111111111000000000000000000000000000001 +0 62 0001000000000000001000001111111111000000000000000000000000000001 +0 63 0001000000000000001000001111111111000000000000000000000000000001 +0 64 0001000000000000001000001111111111000000000000000000000000000001 +0 65 0001000000000000001000001111111111000000000000000000000000000001 +0 66 0001000000000000001000001111111111000000000000000000000000000001 +0 67 0001000000000000001000001111111111000000000000000000000000000001 +0 68 0001000000000000001000001111111111000000000000000000000000000001 +0 69 0001000000000000001000001111111111000000000000000000000000000001 +0 70 0001000000000000001000001111111111000000000000000000000000000001 +0 71 0001000000000000001000001111111111000000000000000000000000000001 +0 72 0001000000000000001000001111111111000000000000000000000000000001 +0 73 0001000000000000001000001111111111000000000000000000000000000001 +0 74 0001000000000000001000001111111111000000000000000000000000000001 +0 76 0001000000000000001000001111111111000000000000000000000000000001 +0 77 0001000000000000001000001111111111000000000000000000000000000001 +0 78 0001000000000000001000001111111111000000000000000000000000000001 +0 79 0001000000000000001000001111111111000000000000000000000000000001 +0 80 0001000000000000001000001111111111000000000000000000000000000001 +0 81 0001000000000000001000001111111111000000000000000000000000000001 +0 82 0001000000000000001000001111111111000000000000000000000000000001 +0 83 0001000000000000001000001111111111000000000000000000000000000001 +0 84 0001000000000000001000001111111111000000000000000000000000000001 +0 85 0001000000000000001000001111111111000000000000000000000000000001 +0 86 0001000000000000001000001111111111000000000000000000000000000001 +0 87 0001000000000000001000001111111111000000000000000000000000000001 +0 88 0001000000000000001000001111111111000000000000000000000000000001 +0 89 0001000000000000001000001111111111000000000000000000000000000001 +0 90 0001000000000000001000001111111111000000000000000000000000000001 +0 91 0001000000000000001000001111111111000000000000000000000000000001 +0 92 0001000000000000001000001111111111000000000000000000000000000001 +0 93 0001000000000000001000001111111111000000000000000000000000000001 +0 94 0001000000000000001000001111111111000000000000000000000000000001 +0 95 0001000000000000001000001111111111000000000000000000000000000001 +0 96 0001000000000000001000001111111111000000000000000000000000000001 +0 97 0001000000000000001000001111111111000000000000000000000000000001 +0 98 0001000000000000001000001111111111000000000000000000000000000001 +0 99 0001000000000000001000001111111111000000000000000000000000000001 +0 100 0001000000000000001000001111111111000000000000000000000000000001 +0 101 0001000000000000001000001111111111000000000000000000000000000001 +0 102 0001000000000000001000001111111111000000000000000000000000000001 +0 103 0001000000000000001000001111111111000000000000000000000000000001 +0 104 0001000000000000001000001111111111000000000000000000000000000001 +0 105 0001000000000000001000001111111111000000000000000000000000000001 +0 106 0001000000000000001000001111111111000000000000000000000000000001 +0 107 0001000000000000001000001111111111000000000000000000000000000001 +0 108 0001000000000000001000001111111111000000000000000000000000000001 +0 109 0001000000000000001000001111111111000000000000000000000000000001 +0 110 0001000000000000001000001111111111000000000000000000000000000001 +0 111 0001000000000000001000001111111111000000000000000000000000000001 +0 112 0001000000000000001000001111111111000000000000000000000000000001 +0 113 0001000000000000001000001111111111000000000000000000000000000001 +0 114 0001000000000000001000001111111111000000000000000000000000000001 +0 115 0001000000000000001000001111111111000000000000000000000000000001 +0 116 0001000000000000001000001111111111000000000000000000000000000001 +0 117 0001000000000000001000001111111111000000000000000000000000000001 +0 118 0001000000000000001000001111111111000000000000000000000000000001 +0 119 0001000000000000001000001111111111000000000000000000000000000001 +0 120 0001000000000000001000001111111111000000000000000000000000000001 +0 121 0001000000000000001000001111111111000000000000000000000000000001 +0 122 0001000000000000001000001111111111000000000000000000000000000001 +0 123 0001000000000000001000001111111111000000000000000000000000000001 +0 124 0001000000000000001000001111111111000000000000000000000000000001 +0 125 0001000000000000001000001111111111000000000000000000000000000001 +0 126 0001000000000000001000001111111111000000000000000000000000000001 +0 127 0001000000000000001000001111111111000000000000000000000000000001 +0 128 0001000000000000001000001111111111000000000000000000000000000001 +0 129 0001000000000000001000001111111111000000000000000000000000000001 +0 130 0001000000000000001000001111111111000000000000000000000000000001 +0 131 0001000000000000001000001111111111000000000000000000000000000001 +0 132 0001000000000000001000001111111111000000000000000000000000000001 +0 133 0001000000000000001000001111111111000000000000000000000000000001 +0 134 0001000000000000001000001111111111000000000000000000000000000001 +0 135 0001000000000000001000001111111111000000000000000000000000000001 +0 136 0001000000000000001000001111111111000000000000000000000000000001 +0 137 0001000000000000001000001111111111000000000000000000000000000001 +0 138 0001000000000000001000001111111111000000000000000000000000000001 +0 139 0001000000000000001000001111111111000000000000000000000000000001 +0 140 0001000000000000001000001111111111000000000000000000000000000001 +0 141 0001000000000000001000001111111111000000000000000000000000000001 +0 142 0001000000000000001000001111111111000000000000000000000000000001 +0 143 0001000000000000001000001111111111000000000000000000000000000001 +0 144 0001000000000000001000001111111111000000000000000000000000000001 +0 145 0001000000000000001000001111111111000000000000000000000000000001 +0 146 0001000000000000001000001111111111000000000000000000000000000001 +0 147 0001000000000000001000001111111111000000000000000000000000000001 +0 148 0001000000000000001000001111111111000000000000000000000000000001 +0 149 0001000000000000001000001111111111000000000000000000000000000001 +0 150 0001000000000000001000001111111111000000000000000000000000000001 +0 151 0001000000000000001000001111111111000000000000000000000000000001 +0 152 0001000000000000001000001111111111000000000000000000000000000001 +0 153 0001000000000000001000001111111111000000000000000000000000000001 +0 154 0001000000000000001000001111111111000000000000000000000000000001 +0 155 0001000000000000001000001111111111000000000000000000000000000001 +0 156 0001000000000000001000001111111111000000000000000000000000000001 +0 157 0001000000000000001000001111111111000000000000000000000000000001 +0 158 0001000000000000001000001111111111000000000000000000000000000001 +0 159 0001000000000000001000001111111111000000000000000000000000000001 +0 160 0001000000000000001000001111111111000000000000000000000000000001 +0 161 0001000000000000001000001111111111000000000000000000000000000001 +0 162 0001000000000000001000001111111111000000000000000000000000000001 +0 163 0001000000000000001000001111111111000000000000000000000000000001 +0 164 0001000000000000001000001111111111000000000000000000000000000001 +0 165 0001000000000000001000001111111111000000000000000000000000000001 +0 166 0001000000000000001000001111111111000000000000000000000000000001 +0 167 0001000000000000001000001111111111000000000000000000000000000001 +0 168 0001000000000000001000001111111111000000000000000000000000000001 +0 169 0001000000000000001000001111111111000000000000000000000000000001 +0 170 0001000000000000001000001111111111000000000000000000000000000001 +0 171 0001000000000000001000001111111111000000000000000000000000000001 +0 172 0001000000000000001000001111111111000000000000000000000000000001 +0 173 0001000000000000001000001111111111000000000000000000000000000001 +0 174 0001000000000000001000001111111111000000000000000000000000000001 +0 175 0001000000000000001000001111111111000000000000000000000000000001 +0 176 0001000000000000001000001111111111000000000000000000000000000001 +0 177 0001000000000000001000001111111111000000000000000000000000000001 +0 178 0001000000000000001000001111111111000000000000000000000000000001 +0 179 0001000000000000001000001111111111000000000000000000000000000001 +0 180 0001000000000000001000001111111111000000000000000000000000000001 +0 181 0001000000000000001000001111111111000000000000000000000000000001 +0 182 0001000000000000001000001111111111000000000000000000000000000001 +0 183 0001000000000000001000001111111111000000000000000000000000000001 +0 184 0001000000000000001000001111111111000000000000000000000000000001 +0 185 0001000000000000001000001111111111000000000000000000000000000001 +0 186 0001000000000000001000001111111111000000000000000000000000000001 +0 187 0001000000000000001000001111111111000000000000000000000000000001 +0 188 0001000000000000001000001111111111000000000000000000000000000001 +0 189 0001000000000000001000001111111111000000000000000000000000000001 +0 190 0001000000000000001000001111111111000000000000000000000000000001 +0 191 0001000000000000001000001111111111000000000000000000000000000001 +0 192 0001000000000000001000001111111111000000000000000000000000000001 +0 193 0001000000000000001000001111111111000000000000000000000000000001 +0 194 0001000000000000001000001111111111000000000000000000000000000001 +0 195 0001000000000000001000001111111111000000000000000000000000000001 +0 196 0001000000000000001000001111111111000000000000000000000000000001 +0 197 0001000000000000001000001111111111000000000000000000000000000001 +0 198 0001000000000000001000001111111111000000000000000000000000000001 +0 199 0001000000000000001000001111111111000000000000000000000000000001 +0 200 0001000000000000001000001111111111000000000000000000000000000001 +0 201 0001000000000000001000001111111111000000000000000000000000000001 +0 202 0001000000000000001000001111111111000000000000000000000000000001 +0 203 0001000000000000001000001111111111000000000000000000000000000001 +0 204 0001000000000000001000001111111111000000000000000000000000000001 +0 205 0001000000000000001000001111111111000000000000000000000000000001 +0 206 0001000000000000001000001111111111000000000000000000000000000001 +0 207 0001000000000000001000001111111111000000000000000000000000000001 +0 208 0001000000000000001000001111111111000000000000000000000000000001 +0 209 0001000000000000001000001111111111000000000000000000000000000001 +0 210 0001000000000000001000001111111111000000000000000000000000000001 +0 211 0001000000000000001000001111111111000000000000000000000000000001 +0 212 0001000000000000001000001111111111000000000000000000000000000001 +0 213 0001000000000000001000001111111111000000000000000000000000000001 +0 214 0001000000000000001000001111111111000000000000000000000000000001 +0 215 0001000000000000001000001111111111000000000000000000000000000001 +0 216 0001000000000000001000001111111111000000000000000000000000000001 +0 217 0001000000000000001000001111111111000000000000000000000000000001 +0 218 0001000000000000001000001111111111000000000000000000000000000001 +0 219 0001000000000000001000001111111111000000000000000000000000000001 +0 220 0001000000000000001000001111111111000000000000000000000000000001 +0 221 0001000000000000001000001111111111000000000000000000000000000001 +0 222 0001000000000000001000001111111111000000000000000000000000000001 +0 223 0001000000000000001000001111111111000000000000000000000000000001 +0 224 0001000000000000001000001111111111000000000000000000000000000001 +0 225 0001000000000000001000001111111111000000000000000000000000000001 +0 226 0001000000000000001000001111111111000000000000000000000000000001 +0 227 0001000000000000001000001111111111000000000000000000000000000001 +0 228 0001000000000000001000001111111111000000000000000000000000000001 +0 229 0001000000000000001000001111111111000000000000000000000000000001 +0 230 0001000000000000001000001111111111000000000000000000000000000001 +0 231 0001000000000000001000001111111111000000000000000000000000000001 +0 232 0001000000000000001000001111111111000000000000000000000000000001 +0 233 0001000000000000001000001111111111000000000000000000000000000001 +0 234 0001000000000000001000001111111111000000000000000000000000000001 +0 235 0001000000000000001000001111111111000000000000000000000000000001 +0 236 0001000000000000001000001111111111000000000000000000000000000001 +0 237 0001000000000000001000001111111111000000000000000000000000000001 +0 238 0001000000000000001000001111111111000000000000000000000000000001 +0 239 0001000000000000001000001111111111000000000000000000000000000001 +0 240 0001000000000000001000001111111111000000000000000000000000000001 +0 241 0001000000000000001000001111111111000000000000000000000000000001 +0 242 0001000000000000001000001111111111000000000000000000000000000001 +0 243 0001000000000000001000001111111111000000000000000000000000000001 +0 244 0001000000000000001000001111111111000000000000000000000000000001 +0 245 0001000000000000001000001111111111000000000000000000000000000001 +0 246 0001000000000000001000001111111111000000000000000000000000000001 +0 247 0001000000000000001000001111111111000000000000000000000000000001 +0 248 0001000000000000001000001111111111000000000000000000000000000001 +0 249 0001000000000000001000001111111111000000000000000000000000000001 +0 250 0001000000000000001000001111111111000000000000000000000000000001 +0 251 0001000000000000001000001111111111000000000000000000000000000001 +0 252 0001000000000000001000001111111111000000000000000000000000000001 +0 253 0001000000000000001000001111111111000000000000000000000000000001 +0 254 0001000000000000001000001111111111000000000000000000000000000001 +0 255 0001000000000000001000001111111111000000000000000000000000000001 +0 256 0001000000000000001000001111111111000000000000000000000000000001 +0 257 0001000000000000001000001111111111000000000000000000000000000001 +0 258 0001000000000000001000001111111111000000000000000000000000000001 +0 259 0001000000000000001000001111111111000000000000000000000000000001 +0 260 0001000000000000001000001111111111000000000000000000000000000001 +0 261 0001000000000000001000001111111111000000000000000000000000000001 +0 262 0001000000000000001000001111111111000000000000000000000000000001 +0 263 0001000000000000001000001111111111000000000000000000000000000001 +0 264 0001000000000011001011101010001001000000000000000000000000000001 +0 265 0001000000000011001011101010001001000000000000000000000000000001 +0 266 0001000000000000001000001111111111000000000000000000000000000001 +0 267 0001000000000000001000001111111111000000000000000000000000000001 +0 268 0001000000000000001000001111111111000000000000000000000000000001 +0 269 0001000000000000001000001111111111000000000000000000000000000001 +0 270 0001000000000000001000001111111111000000000000000000000000000001 +0 271 0001000000000000001000001111111111000000000000000000000000000001 +0 272 0001000000000000001000001111111111000000000000000000000000000001 +0 273 0001000000000000001000001111111111000000000000000000000000000001 +0 274 0001000000000000001000001111111111000000000000000000000000000001 +0 275 0001000000000000001000001111111111000000000000000000000000000001 +0 276 0001000000000000001000001111111111000000000000000000000000000001 +0 277 0001000000000000001000001111111111000000000000000000000000000001 +0 278 0001000000000000001000001111111111000000000000000000000000000001 +0 279 0001000000000000001000001111111111000000000000000000000000000001 +0 280 0001000000000000001000001111111111000000000000000000000000000001 +0 281 0001000000000000001000001111111111000000000000000000000000000001 +0 282 0001000000000000001000001111111111000000000000000000000000000001 +0 283 0001000000000000001000001111111111000000000000000000000000000001 +0 284 0001000000000000001000001111111111000000000000000000000000000001 +0 285 0001000000000000001000001111111111000000000000000000000000000001 +0 286 0001000000000000001000001111111111000000000000000000000000000001 +0 287 0001000000000000001000001111111111000000000000000000000000000001 +0 288 0001000000000000001000001111111111000000000000000000000000000001 +0 289 0001000000000000001000001111111111000000000000000000000000000001 +0 290 0001000000000000001000001111111111000000000000000000000000000001 +0 291 0001000000000000001000001111111111000000000000000000000000000001 +0 292 0001000000000000001000001111111111000000000000000000000000000001 +0 293 0001000000000000001000001111111111000000000000000000000000000001 +0 294 0001000000000000001000001111111111000000000000000000000000000001 +0 295 0001000000000000001000001111111111000000000000000000000000000001 +0 296 0001000000000011001011101010001001000000000000000000000000000001 +0 297 0001000000000011001011101010001001000000000000000000000000000001 +0 298 0001000000000000001000001111111111000000000000000000000000000001 +0 299 0001000000000000001000001111111111000000000000000000000000000001 +0 300 0001000000000000001000001111111111000000000000000000000000000001 +0 301 0001000000000000001000001111111111000000000000000000000000000001 +0 302 0001000000000000001000001111111111000000000000000000000000000001 +0 303 0001000000000000001000001111111111000000000000000000000000000001 +0 304 0001000000000000001000001111111111000000000000000000000000000001 +0 305 0001000000000000001000001111111111000000000000000000000000000001 +0 306 0001000000000000001000001111111111000000000000000000000000000001 +0 307 0001000000000000001000001111111111000000000000000000000000000001 +0 308 0001000000000000001000001111111111000000000000000000000000000001 +0 309 0001000000000000001000001111111111000000000000000000000000000001 +0 310 0001000000000000001000001111111111000000000000000000000000000001 +0 311 0001000000000000001000001111111111000000000000000000000000000001 +0 312 0001000000000000001000001111111111000000000000000000000000000001 +0 313 0001000000000000001000001111111111000000000000000000000000000001 +0 314 0001000000000000001000001111111111000000000000000000000000000001 +0 315 0001000000000000001000001111111111000000000000000000000000000001 +0 316 0001000000000000001000001111111111000000000000000000000000000001 +0 317 0001000000000000001000001111111111000000000000000000000000000001 +0 318 0001000000000000001000001111111111000000000000000000000000000001 +0 319 0001000000000000001000001111111111000000000000000000000000000001 +0 320 0001000000000000001000001111111111000000000000000000000000000001 +0 321 0001000000000000001000001111111111000000000000000000000000000001 +0 322 0001000000000000001000001111111111000000000000000000000000000001 +0 323 0001000000000000001000001111111111000000000000000000000000000001 +0 324 0001000000000000001000001111111111000000000000000000000000000001 +0 325 0001000000000000001000001111111111000000000000000000000000000001 +0 326 0001000000000000001000001111111111000000000000000000000000000001 +0 327 0001000000000000001000001111111111000000000000000000000000000001 +0 328 0001000000000011001011101010001001000000000000000000000000000001 +0 329 0001000000000011001011101010001001000000000000000000000000000001 +0 330 0001000000000000001000001111111111000000000000000000000000000001 +0 331 0001000000000000001000001111111111000000000000000000000000000001 +0 332 0001000000000000001000001111111111000000000000000000000000000001 +0 333 0001000000000000001000001111111111000000000000000000000000000001 +0 334 0001000000000000001000001111111111000000000000000000000000000001 +0 335 0001000000000000001000001111111111000000000000000000000000000001 +0 336 0001000000000000001000001111111111000000000000000000000000000001 +0 337 0001000000000000001000001111111111000000000000000000000000000001 +0 338 0001000000000000001000001111111111000000000000000000000000000001 +0 339 0001000000000000001000001111111111000000000000000000000000000001 +0 340 0001000000000000001000001111111111000000000000000000000000000001 +0 341 0001000000000000001000001111111111000000000000000000000000000001 +0 342 0001000000000000001000001111111111000000000000000000000000000001 +0 343 0001000000000000001000001111111111000000000000000000000000000001 +0 344 0001000000000000001000001111111111000000000000000000000000000001 +0 345 0001000000000000001000001111111111000000000000000000000000000001 +0 346 0001000000000000001000001111111111000000000000000000000000000001 +0 347 0001000000000000001000001111111111000000000000000000000000000001 +0 348 0001000000000000001000001111111111000000000000000000000000000001 +0 349 0001000000000000001000001111111111000000000000000000000000000001 +0 350 0001000000000000001000001111111111000000000000000000000000000001 +0 351 0001000000000000001000001111111111000000000000000000000000000001 +0 352 0001000000000000001000001111111111000000000000000000000000000001 +0 353 0001000000000000001000001111111111000000000000000000000000000001 +0 354 0001000000000000001000001111111111000000000000000000000000000001 +0 355 0001000000000000001000001111111111000000000000000000000000000001 +0 356 0001000000000000001000001111111111000000000000000000000000000001 +0 357 0001000000000000001000001111111111000000000000000000000000000001 +0 358 0001000000000000001000001111111111000000000000000000000000000001 +0 359 0001000000000000001000001111111111000000000000000000000000000001 +0 360 0001000000000011001011101010001001000000000000000000000000000001 +0 361 0001000000000011001011101010001001000000000000000000000000000001 +0 362 0001000000000000001000001111111111000000000000000000000000000001 +0 363 0001000000000000001000001111111111000000000000000000000000000001 +0 364 0001000000000000001000001111111111000000000000000000000000000001 +0 365 0001000000000000001000001111111111000000000000000000000000000001 +0 366 0001000000000000001000001111111111000000000000000000000000000001 +0 367 0001000000000000001000001111111111000000000000000000000000000001 +0 368 0001000000000000001000001111111111000000000000000000000000000001 +0 369 0001000000000000001000001111111111000000000000000000000000000001 +0 370 0001000000000000001000001111111111000000000000000000000000000001 +0 371 0001000000000000001000001111111111000000000000000000000000000001 +0 372 0001000000000000001000001111111111000000000000000000000000000001 +0 373 0001000000000000001000001111111111000000000000000000000000000001 +0 374 0001000000000000001000001111111111000000000000000000000000000001 +0 375 0001000000000000001000001111111111000000000000000000000000000001 +0 376 0001000000000000001000001111111111000000000000000000000000000001 +0 377 0001000000000000001000001111111111000000000000000000000000000001 +0 378 0001000000000000001000001111111111000000000000000000000000000001 +0 379 0001000000000000001000001111111111000000000000000000000000000001 +0 380 0001000000000000001000001111111111000000000000000000000000000001 +0 381 0001000000000000001000001111111111000000000000000000000000000001 +0 382 0001000000000000001000001111111111000000000000000000000000000001 +0 383 0001000000000000001000001111111111000000000000000000000000000001 +0 384 0001000000000000001000001111111111000000000000000000000000000001 +0 385 0001000000000000001000001111111111000000000000000000000000000001 +0 386 0001000000000000001000001111111111000000000000000000000000000001 +0 387 0001000000000000001000001111111111000000000000000000000000000001 +0 388 0001000000000000001000001111111111000000000000000000000000000001 +0 389 0001000000000000001000001111111111000000000000000000000000000001 +0 390 0001000000000000001000001111111111000000000000000000000000000001 +0 391 0001000000000000001000001111111111000000000000000000000000000001 +0 392 0001000000000000001000001111111111000000000000000000000000000001 +0 393 0001000000000000001000001111111111000000000000000000000000000001 +0 394 0001000000000000001000001111111111000000000000000000000000000001 +0 395 0001000000000000001000001111111111000000000000000000000000000001 +0 396 0001000000000000001000001111111111000000000000000000000000000001 +0 397 0001000000000000001000001111111111000000000000000000000000000001 +0 398 0001000000000000001000001111111111000000000000000000000000000001 +0 399 0001000000000000001000001111111111000000000000000000000000000001 +0 400 0001000000000000001000001111111111000000000000000000000000000001 +0 401 0001000000000000001000001111111111000000000000000000000000000001 +0 402 0001000000000000001000001111111111000000000000000000000000000001 +0 403 0001000000000000001000001111111111000000000000000000000000000001 +0 404 0001000000000000001000001111111111000000000000000000000000000001 +0 405 0001000000000000001000001111111111000000000000000000000000000001 +0 406 0001000000000000001000001111111111000000000000000000000000000001 +0 407 0001000000000000001000001111111111000000000000000000000000000001 +0 408 0001000000000000001000001111111111000000000000000000000000000001 +0 409 0001000000000000001000001111111111000000000000000000000000000001 +0 410 0001000000000000001000001111111111000000000000000000000000000001 +0 411 0001000000000000001000001111111111000000000000000000000000000001 +0 412 0001000000000000001000001111111111000000000000000000000000000001 +0 413 0001000000000000001000001111111111000000000000000000000000000001 +0 414 0001000000000000001000001111111111000000000000000000000000000001 +0 415 0001000000000000001000001111111111000000000000000000000000000001 +0 416 0001000000000000001000001111111111000000000000000000000000000001 +0 417 0001000000000000001000001111111111000000000000000000000000000001 +0 418 0001000000000000001000001111111111000000000000000000000000000001 +0 419 0001000000000000001000001111111111000000000000000000000000000001 +0 420 0001000000000000001000001111111111000000000000000000000000000001 +0 421 0001000000000000001000001111111111000000000000000000000000000001 +0 422 0001000000000000001000001111111111000000000000000000000000000001 +0 423 0001000000000000001000001111111111000000000000000000000000000001 +0 424 0001000000000000001000001111111111000000000000000000000000000001 +0 425 0001000000000000001000001111111111000000000000000000000000000001 +0 426 0001000000000000001000001111111111000000000000000000000000000001 +0 427 0001000000000000001000001111111111000000000000000000000000000001 +0 428 0001000000000000001000001111111111000000000000000000000000000001 +0 429 0001000000000000001000001111111111000000000000000000000000000001 +0 430 0001000000000000001000001111111111000000000000000000000000000001 +0 431 0001000000000000001000001111111111000000000000000000000000000001 +0 432 0001000000000000001000001111111111000000000000000000000000000001 +0 433 0001000000000000001000001111111111000000000000000000000000000001 +0 434 0001000000000000001000001111111111000000000000000000000000000001 +0 435 0001000000000000001000001111111111000000000000000000000000000001 +0 436 0001000000000000001000001111111111000000000000000000000000000001 +0 437 0001000000000000001000001111111111000000000000000000000000000001 +0 438 0001000000000000001000001111111111000000000000000000000000000001 +0 439 0001000000000000001000001111111111000000000000000000000000000001 +0 440 0001000000000000001000001111111111000000000000000000000000000001 +0 441 0001000000000000001000001111111111000000000000000000000000000001 +0 442 0001000000000000001000001111111111000000000000000000000000000001 +0 443 0001000000000000001000001111111111000000000000000000000000000001 +0 444 0001000000000000001000001111111111000000000000000000000000000001 +0 445 0001000000000000001000001111111111000000000000000000000000000001 +0 446 0001000000000000001000001111111111000000000000000000000000000001 +0 447 0001000000000000001000001111111111000000000000000000000000000001 +0 448 0001000000000000001000001111111111000000000000000000000000000001 +0 449 0001000000000000001000001111111111000000000000000000000000000001 +0 450 0001000000000000001000001111111111000000000000000000000000000001 +0 451 0001000000000000001000001111111111000000000000000000000000000001 +0 452 0001000000000000001000001111111111000000000000000000000000000001 +0 453 0001000000000000001000001111111111000000000000000000000000000001 +0 454 0001000000000000001000001111111111000000000000000000000000000001 +0 455 0001000000000000001000001111111111000000000000000000000000000001 +0 456 0001000000000000001000001111111111000000000000000000000000000001 +0 457 0001000000000000001000001111111111000000000000000000000000000001 +0 458 0001000000000000001000001111111111000000000000000000000000000001 +0 459 0001000000000000001000001111111111000000000000000000000000000001 +0 460 0001000000000000001000001111111111000000000000000000000000000001 +0 461 0001000000000000001000001111111111000000000000000000000000000001 +0 462 0001000000000000001000001111111111000000000000000000000000000001 +0 463 0001000000000000001000001111111111000000000000000000000000000001 +0 464 0001000000000000001000001111111111000000000000000000000000000001 +0 465 0001000000000000001000001111111111000000000000000000000000000001 +0 466 0001000000000000001000001111111111000000000000000000000000000001 +0 467 0001000000000000001000001111111111000000000000000000000000000001 +0 468 0001000000000000001000001111111111000000000000000000000000000001 +0 469 0001000000000000001000001111111111000000000000000000000000000001 +0 470 0001000000000000001000001111111111000000000000000000000000000001 +0 471 0001000000000000001000001111111111000000000000000000000000000001 +0 472 0001000000000000001000001111111111000000000000000000000000000001 +0 473 0001000000000000001000001111111111000000000000000000000000000001 +0 474 0001000000000000001000001111111111000000000000000000000000000001 +0 475 0001000000000000001000001111111111000000000000000000000000000001 +0 476 0001000000000000001000001111111111000000000000000000000000000001 +0 477 0001000000000000001000001111111111000000000000000000000000000001 +0 478 0001000000000000001000001111111111000000000000000000000000000001 +0 479 0001000000000000001000001111111111000000000000000000000000000001 +0 480 0001000000000000001000001111111111000000000000000000000000000001 +0 481 0001000000000000001000001111111111000000000000000000000000000001 +0 482 0001000000000000001000001111111111000000000000000000000000000001 +0 483 0001000000000000001000001111111111000000000000000000000000000001 +0 484 0001000000000000001000001111111111000000000000000000000000000001 +0 485 0001000000000000001000001111111111000000000000000000000000000001 +0 486 0001000000000000001000001111111111000000000000000000000000000001 +0 487 0001000000000000001000001111111111000000000000000000000000000001 +0 488 0001000000000000001000001111111111000000000000000000000000000001 +0 489 0001000000000000001000001111111111000000000000000000000000000001 +0 490 0001000000000000001000001111111111000000000000000000000000000001 +0 491 0001000000000000001000001111111111000000000000000000000000000001 +0 492 0001000000000000001000001111111111000000000000000000000000000001 +0 493 0001000000000000001000001111111111000000000000000000000000000001 +0 494 0001000000000000001000001111111111000000000000000000000000000001 +0 495 0001000000000000001000001111111111000000000000000000000000000001 +0 496 0001000000000000001000001111111111000000000000000000000000000001 +0 497 0001000000000000001000001111111111000000000000000000000000000001 +0 498 0001000000000000001000001111111111000000000000000000000000000001 +0 499 0001000000000000001000001111111111000000000000000000000000000001 +0 500 0001000000000000001000001111111111000000000000000000000000000001 +0 501 0001000000000000001000001111111111000000000000000000000000000001 +0 502 0001000000000000001000001111111111000000000000000000000000000001 +0 503 0001000000000000001000001111111111000000000000000000000000000001 +0 504 0001000000000000001000001111111111000000000000000000000000000001 +0 505 0001000000000000001000001111111111000000000000000000000000000001 +0 506 0001000000000000001000001111111111000000000000000000000000000001 +0 507 0001000000000000001000001111111111000000000000000000000000000001 +0 508 0001000000000000001000001111111111000000000000000000000000000001 +0 509 0001000000000000001000001111111111000000000000000000000000000001 +0 510 0001000000000000001000001111111111000000000000000000000000000001 +0 511 0001000000000000001000001111111111000000000000000000000000000001 +0 512 0001000000000000001000001111111111000000000000000000000000000001 +0 513 0001000000000000001000001111111111000000000000000000000000000001 +0 514 0001000000000000001000001111111111000000000000000000000000000001 +0 515 0001000000000000001000001111111111000000000000000000000000000001 +0 516 0001000000000000001000001111111111000000000000000000000000000001 +0 517 0001000000000000001000001111111111000000000000000000000000000001 +0 518 0001000000000000001000001111111111000000000000000000000000000001 +0 519 0001000000000000001000001111111111000000000000000000000000000001 +0 520 0001000000000000001000001111111111000000000000000000000000000001 +0 521 0001000000000000001000001111111111000000000000000000000000000001 +0 522 0001000000000000001000001111111111000000000000000000000000000001 +0 523 0001000000000000001000001111111111000000000000000000000000000001 +0 524 0001000000000000001000001111111111000000000000000000000000000001 +0 525 0001000000000000001000001111111111000000000000000000000000000001 +0 526 0001000000000000001000001111111111000000000000000000000000000001 +0 527 0001000000000000001000001111111111000000000000000000000000000001 +0 528 0001000000000000001000001111111111000000000000000000000000000001 +0 529 0001000000000000001000001111111111000000000000000000000000000001 +0 530 0001000000000000001000001111111111000000000000000000000000000001 +0 531 0001000000000000001000001111111111000000000000000000000000000001 +0 532 0001000000000000001000001111111111000000000000000000000000000001 +0 533 0001000000000000001000001111111111000000000000000000000000000001 +0 534 0001000000000000001000001111111111000000000000000000000000000001 +0 535 0001000000000000001000001111111111000000000000000000000000000001 +0 536 0001000000000000001000001111111111000000000000000000000000000001 +0 537 0001000000000000001000001111111111000000000000000000000000000001 +0 538 0001000000000000001000001111111111000000000000000000000000000001 +0 539 0001000000000000001000001111111111000000000000000000000000000001 +0 540 0001000000000000001000001111111111000000000000000000000000000001 +0 541 0001000000000000001000001111111111000000000000000000000000000001 +0 542 0001000000000000001000001111111111000000000000000000000000000001 +0 543 0001000000000000001000001111111111000000000000000000000000000001 +0 544 0001000000000000001000001111111111000000000000000000000000000001 +0 545 0001000000000000001000001111111111000000000000000000000000000001 +0 546 0001000000000000001000001111111111000000000000000000000000000001 +0 547 0001000000000000001000001111111111000000000000000000000000000001 +0 548 0001000000000000001000001111111111000000000000000000000000000001 +0 549 0001000000000000001000001111111111000000000000000000000000000001 +0 550 0001000000000000001000001111111111000000000000000000000000000001 +0 551 0001000000000000001000001111111111000000000000000000000000000001 +0 552 0001000000000000001000001111111111000000000000000000000000000001 +0 553 0001000000000000001000001111111111000000000000000000000000000001 +0 554 0001000000000000001000001111111111000000000000000000000000000001 +0 555 0001000000000000001000001111111111000000000000000000000000000001 +0 556 0001000000000000001000001111111111000000000000000000000000000001 +0 557 0001000000000000001000001111111111000000000000000000000000000001 +0 558 0001000000000000001000001111111111000000000000000000000000000001 +0 559 0001000000000000001000001111111111000000000000000000000000000001 +0 560 0001000000000000001000001111111111000000000000000000000000000001 +0 561 0001000000000000001000001111111111000000000000000000000000000001 +0 562 0001000000000000001000001111111111000000000000000000000000000001 +0 563 0001000000000000001000001111111111000000000000000000000000000001 +0 564 0001000000000000001000001111111111000000000000000000000000000001 +0 565 0001000000000000001000001111111111000000000000000000000000000001 +0 566 0001000000000000001000001111111111000000000000000000000000000001 +0 567 0001000000000000001000001111111111000000000000000000000000000001 +0 568 0001000000000000001000001111111111000000000000000000000000000001 +0 569 0001000000000000001000001111111111000000000000000000000000000001 +0 570 0001000000000000001000001111111111000000000000000000000000000001 +0 571 0001000000000000001000001111111111000000000000000000000000000001 +0 572 0001000000000000001000001111111111000000000000000000000000000001 +0 573 0001000000000000001000001111111111000000000000000000000000000001 +0 574 0001000000000000001000001111111111000000000000000000000000000001 +0 575 0001000000000000001000001111111111000000000000000000000000000001 +0 576 0001000000000000001000001111111111000000000000000000000000000001 +0 577 0001000000000000001000001111111111000000000000000000000000000001 +0 578 0001000000000000001000001111111111000000000000000000000000000001 +0 579 0001000000000000001000001111111111000000000000000000000000000001 +0 580 0001000000000000001000001111111111000000000000000000000000000001 +0 581 0001000000000000001000001111111111000000000000000000000000000001 +0 582 0001000000000000001000001111111111000000000000000000000000000001 +0 583 0001000000000000001000001111111111000000000000000000000000000001 +0 584 0001000000000000001000001111111111000000000000000000000000000001 +0 585 0001000000000000001000001111111111000000000000000000000000000001 +0 586 0001000000000000001000001111111111000000000000000000000000000001 +0 587 0001000000000000001000001111111111000000000000000000000000000001 +0 588 0001000000000000001000001111111111000000000000000000000000000001 +0 589 0001000000000000001000001111111111000000000000000000000000000001 +0 590 0001000000000000001000001111111111000000000000000000000000000001 +0 591 0001000000000000001000001111111111000000000000000000000000000001 +0 592 0001000000000000001000001111111111000000000000000000000000000001 +0 593 0001000000000000001000001111111111000000000000000000000000000001 +0 594 0001000000000000001000001111111111000000000000000000000000000001 +0 595 0001000000000000001000001111111111000000000000000000000000000001 +0 596 0001000000000000001000001111111111000000000000000000000000000001 +0 597 0001000000000000001000001111111111000000000000000000000000000001 +0 598 0001000000000000001000001111111111000000000000000000000000000001 +0 599 0001000000000000001000001111111111000000000000000000000000000001 +0 600 0001000000000000001000001111111111000000000000000000000000000001 +0 601 0001000000000000001000001111111111000000000000000000000000000001 +0 602 0001000000000000001000001111111111000000000000000000000000000001 +0 603 0001000000000000001000001111111111000000000000000000000000000001 +0 604 0001000000000000001000001111111111000000000000000000000000000001 +0 605 0001000000000000001000001111111111000000000000000000000000000001 +0 606 0001000000000000001000001111111111000000000000000000000000000001 +0 607 0001000000000000001000001111111111000000000000000000000000000001 +0 608 0001000000000000001000001111111111000000000000000000000000000001 +0 609 0001000000000000001000001111111111000000000000000000000000000001 +0 610 0001000000000000001000001111111111000000000000000000000000000001 +0 611 0001000000000000001000001111111111000000000000000000000000000001 +0 612 0001000000000000001000001111111111000000000000000000000000000001 +0 613 0001000000000000001000001111111111000000000000000000000000000001 +0 614 0001000000000000001000001111111111000000000000000000000000000001 +0 615 0001000000000000001000001111111111000000000000000000000000000001 +0 616 0001000000000000001000001111111111000000000000000000000000000001 +0 617 0001000000000000001000001111111111000000000000000000000000000001 +0 618 0001000000000000001000001111111111000000000000000000000000000001 +0 619 0001000000000000001000001111111111000000000000000000000000000001 +0 620 0001000000000000001000001111111111000000000000000000000000000001 +0 621 0001000000000000001000001111111111000000000000000000000000000001 +0 622 0001000000000000001000001111111111000000000000000000000000000001 +0 623 0001000000000000001000001111111111000000000000000000000000000001 +0 624 0001000000000000001000001111111111000000000000000000000000000001 +0 625 0001000000000000001000001111111111000000000000000000000000000001 +0 626 0001000000000000001000001111111111000000000000000000000000000001 +0 627 0001000000000000001000001111111111000000000000000000000000000001 +0 628 0001000000000000001000001111111111000000000000000000000000000001 +0 629 0001000000000000001000001111111111000000000000000000000000000001 +0 630 0001000000000000001000001111111111000000000000000000000000000001 +0 631 0001000000000000001000001111111111000000000000000000000000000001 +0 632 0001000000000000001000001111111111000000000000000000000000000001 +0 633 0001000000000000001000001111111111000000000000000000000000000001 +0 634 0001000000000000001000001111111111000000000000000000000000000001 +0 635 0001000000000000001000001111111111000000000000000000000000000001 +0 636 0001000000000000001000001111111111000000000000000000000000000001 +0 637 0001000000000000001000001111111111000000000000000000000000000001 +0 638 0001000000000000001000001111111111000000000000000000000000000001 +0 639 0001000000000000001000001111111111000000000000000000000000000001 +0 640 0001000000000000001000001111111111000000000000000000000000000001 +0 641 0001000000000000001000001111111111000000000000000000000000000001 +0 642 0001000000000000001000001111111111000000000000000000000000000001 +0 643 0001000000000000001000001111111111000000000000000000000000000001 +0 644 0001000000000000001000001111111111000000000000000000000000000001 +0 645 0001000000000000001000001111111111000000000000000000000000000001 +0 646 0001000000000000001000001111111111000000000000000000000000000001 +0 647 0001000000000000001000001111111111000000000000000000000000000001 +0 648 0001000000000000001000001111111111000000000000000000000000000001 +0 649 0001000000000000001000001111111111000000000000000000000000000001 +0 650 0001000000000000001000001111111111000000000000000000000000000001 +0 651 0001000000000000001000001111111111000000000000000000000000000001 +0 652 0001000000000000001000001111111111000000000000000000000000000001 +0 653 0001000000000000001000001111111111000000000000000000000000000001 +0 654 0001000000000000001000001111111111000000000000000000000000000001 +0 655 0001000000000000001000001111111111000000000000000000000000000001 +0 656 0001000000000000001000001111111111000000000000000000000000000001 +0 657 0001000000000000001000001111111111000000000000000000000000000001 +0 658 0001000000000000001000001111111111000000000000000000000000000001 +0 659 0001000000000000001000001111111111000000000000000000000000000001 +0 660 0001000000000000001000001111111111000000000000000000000000000001 +0 661 0001000000000000001000001111111111000000000000000000000000000001 +0 662 0001000000000000001000001111111111000000000000000000000000000001 +0 663 0001000000000000001000001111111111000000000000000000000000000001 +0 664 0001000000000000001000001111111111000000000000000000000000000001 +0 665 0001000000000000001000001111111111000000000000000000000000000001 +0 666 0001000000000000001000001111111111000000000000000000000000000001 +0 667 0001000000000000001000001111111111000000000000000000000000000001 +0 668 0001000000000000001000001111111111000000000000000000000000000001 +0 669 0001000000000000001000001111111111000000000000000000000000000001 +0 670 0001000000000000001000001111111111000000000000000000000000000001 +0 671 0001000000000000001000001111111111000000000000000000000000000001 +0 672 0001000000000000001000001111111111000000000000000000000000000001 +0 673 0001000000000000001000001111111111000000000000000000000000000001 +0 674 0001000000000000001000001111111111000000000000000000000000000001 +0 675 0001000000000000001000001111111111000000000000000000000000000001 +0 676 0001000000000000001000001111111111000000000000000000000000000001 +0 677 0001000000000000001000001111111111000000000000000000000000000001 +0 678 0001000000000000001000001111111111000000000000000000000000000001 +0 679 0001000000000000001000001111111111000000000000000000000000000001 +0 680 0001000000000000001000001111111111000000000000000000000000000001 +0 681 0001000000000000001000001111111111000000000000000000000000000001 +0 682 0001000000000000001000001111111111000000000000000000000000000001 +0 683 0001000000000000001000001111111111000000000000000000000000000001 +0 684 0001000000000000001000001111111111000000000000000000000000000001 +0 685 0001000000000000001000001111111111000000000000000000000000000001 +0 686 0001000000000000001000001111111111000000000000000000000000000001 +0 687 0001000000000000001000001111111111000000000000000000000000000001 +0 688 0001000000000000001000001111111111000000000000000000000000000001 +0 689 0001000000000000001000001111111111000000000000000000000000000001 +0 690 0001000000000000001000001111111111000000000000000000000000000001 +0 691 0001000000000000001000001111111111000000000000000000000000000001 +0 692 0001000000000000001000001111111111000000000000000000000000000001 +0 693 0001000000000000001000001111111111000000000000000000000000000001 +0 694 0001000000000000001000001111111111000000000000000000000000000001 +0 695 0001000000000000001000001111111111000000000000000000000000000001 +0 696 0001000000000000001000001111111111000000000000000000000000000001 +0 697 0001000000000000001000001111111111000000000000000000000000000001 +0 698 0001000000000000001000001111111111000000000000000000000000000001 +0 699 0001000000000000001000001111111111000000000000000000000000000001 +0 700 0001000000000000001000001111111111000000000000000000000000000001 +0 701 0001000000000000001000001111111111000000000000000000000000000001 +0 702 0001000000000000001000001111111111000000000000000000000000000001 +0 703 0001000000000000001000001111111111000000000000000000000000000001 +0 704 0001000000000000001000001111111111000000000000000000000000000001 +0 705 0001000000000000001000001111111111000000000000000000000000000001 +0 706 0001000000000000001000001111111111000000000000000000000000000001 +0 707 0001000000000000001000001111111111000000000000000000000000000001 +0 708 0001000000000000001000001111111111000000000000000000000000000001 +0 709 0001000000000000001000001111111111000000000000000000000000000001 +0 710 0001000000000000001000001111111111000000000000000000000000000001 +0 711 0001000000000000001000001111111111000000000000000000000000000001 +0 712 0001000000000000001000001111111111000000000000000000000000000001 +0 713 0001000000000000001000001111111111000000000000000000000000000001 +0 714 0001000000000000001000001111111111000000000000000000000000000001 +0 715 0001000000000000001000001111111111000000000000000000000000000001 +0 716 0001000000000000001000001111111111000000000000000000000000000001 +0 717 0001000000000000001000001111111111000000000000000000000000000001 +0 718 0001000000000000001000001111111111000000000000000000000000000001 +0 719 0001000000000000001000001111111111000000000000000000000000000001 +0 720 0001000000000000001000001111111111000000000000000000000000000001 +0 721 0001000000000000001000001111111111000000000000000000000000000001 +0 722 0001000000000000001000001111111111000000000000000000000000000001 +0 723 0001000000000000001000001111111111000000000000000000000000000001 +0 724 0001000000000000001000001111111111000000000000000000000000000001 +0 725 0001000000000000001000001111111111000000000000000000000000000001 +0 726 0001000000000000001000001111111111000000000000000000000000000001 +0 727 0001000000000000001000001111111111000000000000000000000000000001 +0 728 0001000000000000001000001111111111000000000000000000000000000001 +0 729 0001000000000000001000001111111111000000000000000000000000000001 +0 730 0001000000000000001000001111111111000000000000000000000000000001 +0 731 0001000000000000001000001111111111000000000000000000000000000001 +0 732 0001000000000000001000001111111111000000000000000000000000000001 +0 733 0001000000000000001000001111111111000000000000000000000000000001 +0 734 0001000000000000001000001111111111000000000000000000000000000001 +0 735 0001000000000000001000001111111111000000000000000000000000000001 +0 736 0001000000000000001000001111111111000000000000000000000000000001 +0 737 0001000000000000001000001111111111000000000000000000000000000001 +0 738 0001000000000000001000001111111111000000000000000000000000000001 +0 739 0001000000000000001000001111111111000000000000000000000000000001 +0 740 0001000000000000001000001111111111000000000000000000000000000001 +0 741 0001000000000000001000001111111111000000000000000000000000000001 +0 742 0001000000000000001000001111111111000000000000000000000000000001 +0 743 0001000000000000001000001111111111000000000000000000000000000001 +0 744 0001000000000000001000001111111111000000000000000000000000000001 +0 745 0001000000000000001000001111111111000000000000000000000000000001 +0 746 0001000000000000001000001111111111000000000000000000000000000001 +0 747 0001000000000000001000001111111111000000000000000000000000000001 +0 748 0001000000000000001000001111111111000000000000000000000000000001 +0 749 0001000000000000001000001111111111000000000000000000000000000001 +0 750 0001000000000000001000001111111111000000000000000000000000000001 +0 751 0001000000000000001000001111111111000000000000000000000000000001 +0 752 0001000000000000001000001111111111000000000000000000000000000001 +0 753 0001000000000000001000001111111111000000000000000000000000000001 +0 754 0001000000000000001000001111111111000000000000000000000000000001 +0 755 0001000000000000001000001111111111000000000000000000000000000001 +0 756 0001000000000000001000001111111111000000000000000000000000000001 +0 757 0001000000000000001000001111111111000000000000000000000000000001 +0 758 0001000000000000001000001111111111000000000000000000000000000001 +0 759 0001000000000000001000001111111111000000000000000000000000000001 +0 760 0001000000000000001000001111111111000000000000000000000000000001 +0 761 0001000000000000001000001111111111000000000000000000000000000001 +0 762 0001000000000000001000001111111111000000000000000000000000000001 +0 763 0001000000000000001000001111111111000000000000000000000000000001 +0 764 0001000000000000001000001111111111000000000000000000000000000001 +0 765 0001000000000000001000001111111111000000000000000000000000000001 +0 766 0001000000000000001000001111111111000000000000000000000000000001 +0 767 0001000000000000001000001111111111000000000000000000000000000001 +0 768 0001000000000000001000001111111111000000000000000000000000000001 +0 769 0001000000000000001000001111111111000000000000000000000000000001 +0 770 0001000000000000001000001111111111000000000000000000000000000001 +0 771 0001000000000000001000001111111111000000000000000000000000000001 +0 772 0001000000000000001000001111111111000000000000000000000000000001 +0 773 0001000000000000001000001111111111000000000000000000000000000001 +0 774 0001000000000000001000001111111111000000000000000000000000000001 +0 775 0001000000000000001000001111111111000000000000000000000000000001 +0 776 0001000000000011001011101010001001000000000000000000000000000001 +0 777 0001000000000011001011101010001001000000000000000000000000000001 +0 778 0001000000000000001000001111111111000000000000000000000000000001 +0 779 0001000000000000001000001111111111000000000000000000000000000001 +0 780 0001000000000000001000001111111111000000000000000000000000000001 +0 781 0001000000000000001000001111111111000000000000000000000000000001 +0 782 0001000000000000001000001111111111000000000000000000000000000001 +0 783 0001000000000000001000001111111111000000000000000000000000000001 +0 784 0001000000000000001000001111111111000000000000000000000000000001 +0 785 0001000000000000001000001111111111000000000000000000000000000001 +0 786 0001000000000000001000001111111111000000000000000000000000000001 +0 788 0001000000000000001000001111111111000000000000000000000000000001 +0 789 0001000000000000001000001111111111000000000000000000000000000001 +0 790 0001000000000000001000001111111111000000000000000000000000000001 +0 791 0001000000000000001000001111111111000000000000000000000000000001 +0 792 0001000000000000001000001111111111000000000000000000000000000001 +0 793 0001000000000000001000001111111111000000000000000000000000000001 +0 794 0001000000000000001000001111111111000000000000000000000000000001 +0 795 0001000000000000001000001111111111000000000000000000000000000001 +0 796 0001000000000000001000001111111111000000000000000000000000000001 +0 797 0001000000000000001000001111111111000000000000000000000000000001 +0 798 0001000000000000001000001111111111000000000000000000000000000001 +0 799 0001000000000000001000001111111111000000000000000000000000000001 +0 800 0001000000000000001000001111111111000000000000000000000000000001 +0 801 0001000000000000001000001111111111000000000000000000000000000001 +0 802 0001000000000000001000001111111111000000000000000000000000000001 +0 803 0001000000000000001000001111111111000000000000000000000000000001 +0 804 0001000000000000001000001111111111000000000000000000000000000001 +0 805 0001000000000000001000001111111111000000000000000000000000000001 +0 806 0001000000000000001000001111111111000000000000000000000000000001 +0 807 0001000000000000001000001111111111000000000000000000000000000001 +0 808 0001000000000011001011101010001001000000000000000000000000000001 +0 809 0001000000000011001011101010001001000000000000000000000000000001 +0 810 0001000000000000001000001111111111000000000000000000000000000001 +0 811 0001000000000000001000001111111111000000000000000000000000000001 +0 812 0001000000000000001000001111111111000000000000000000000000000001 +0 813 0001000000000000001000001111111111000000000000000000000000000001 +0 814 0001000000000000001000001111111111000000000000000000000000000001 +0 815 0001000000000000001000001111111111000000000000000000000000000001 +0 816 0001000000000000001000001111111111000000000000000000000000000001 +0 817 0001000000000000001000001111111111000000000000000000000000000001 +0 818 0001000000000000001000001111111111000000000000000000000000000001 +0 819 0001000000000000001000001111111111000000000000000000000000000001 +0 820 0001000000000000001000001111111111000000000000000000000000000001 +0 821 0001000000000000001000001111111111000000000000000000000000000001 +0 822 0001000000000000001000001111111111000000000000000000000000000001 +0 823 0001000000000000001000001111111111000000000000000000000000000001 +0 824 0001000000000000001000001111111111000000000000000000000000000001 +0 825 0001000000000000001000001111111111000000000000000000000000000001 +0 826 0001000000000000001000001111111111000000000000000000000000000001 +0 827 0001000000000000001000001111111111000000000000000000000000000001 +0 828 0001000000000000001000001111111111000000000000000000000000000001 +0 829 0001000000000000001000001111111111000000000000000000000000000001 +0 830 0001000000000000001000001111111111000000000000000000000000000001 +0 831 0001000000000000001000001111111111000000000000000000000000000001 +0 832 0001000000000000001000001111111111000000000000000000000000000001 +0 833 0001000000000000001000001111111111000000000000000000000000000001 +0 834 0001000000000000001000001111111111000000000000000000000000000001 +0 835 0001000000000000001000001111111111000000000000000000000000000001 +0 836 0001000000000000001000001111111111000000000000000000000000000001 +0 837 0001000000000000001000001111111111000000000000000000000000000001 +0 838 0001000000000000001000001111111111000000000000000000000000000001 +0 839 0001000000000000001000001111111111000000000000000000000000000001 +0 840 0001000000000011001011101010001001000000000000000000000000000001 +0 841 0001000000000011001011101010001001000000000000000000000000000001 +0 842 0001000000000000001000001111111111000000000000000000000000000001 +0 843 0001000000000000001000001111111111000000000000000000000000000001 +0 844 0001000000000000001000001111111111000000000000000000000000000001 +0 845 0001000000000000001000001111111111000000000000000000000000000001 +0 846 0001000000000000001000001111111111000000000000000000000000000001 +0 847 0001000000000000001000001111111111000000000000000000000000000001 +0 848 0001000000000000001000001111111111000000000000000000000000000001 +0 849 0001000000000000001000001111111111000000000000000000000000000001 +0 850 0001000000000000001000001111111111000000000000000000000000000001 +0 851 0001000000000000001000001111111111000000000000000000000000000001 +0 852 0001000000000000001000001111111111000000000000000000000000000001 +0 853 0001000000000000001000001111111111000000000000000000000000000001 +0 854 0001000000000000001000001111111111000000000000000000000000000001 +0 855 0001000000000000001000001111111111000000000000000000000000000001 +0 856 0001000000000000001000001111111111000000000000000000000000000001 +0 857 0001000000000000001000001111111111000000000000000000000000000001 +0 858 0001000000000000001000001111111111000000000000000000000000000001 +0 859 0001000000000000001000001111111111000000000000000000000000000001 +0 860 0001000000000000001000001111111111000000000000000000000000000001 +0 861 0001000000000000001000001111111111000000000000000000000000000001 +0 862 0001000000000000001000001111111111000000000000000000000000000001 +0 863 0001000000000000001000001111111111000000000000000000000000000001 +0 864 0001000000000000001000001111111111000000000000000000000000000001 +0 865 0001000000000000001000001111111111000000000000000000000000000001 +0 866 0001000000000000001000001111111111000000000000000000000000000001 +0 867 0001000000000000001000001111111111000000000000000000000000000001 +0 868 0001000000000000001000001111111111000000000000000000000000000001 +0 869 0001000000000000001000001111111111000000000000000000000000000001 +0 870 0001000000000000001000001111111111000000000000000000000000000001 +0 871 0001000000000000001000001111111111000000000000000000000000000001 +0 872 0001000000000011001011101010001001000000000000000000000000000001 +0 873 0001000000000011001011101010001001000000000000000000000000000001 +0 874 0001000000000000001000001111111111000000000000000000000000000001 +0 875 0001000000000000001000001111111111000000000000000000000000000001 +0 876 0001000000000000001000001111111111000000000000000000000000000001 +0 877 0001000000000000001000001111111111000000000000000000000000000001 +0 878 0001000000000000001000001111111111000000000000000000000000000001 +0 879 0001000000000000001000001111111111000000000000000000000000000001 +0 880 0001000000000000001000001111111111000000000000000000000000000001 +0 881 0001000000000000001000001111111111000000000000000000000000000001 +0 882 0001000000000000001000001111111111000000000000000000000000000001 +0 883 0001000000000000001000001111111111000000000000000000000000000001 +0 884 0001000000000000001000001111111111000000000000000000000000000001 +0 885 0001000000000000001000001111111111000000000000000000000000000001 +0 886 0001000000000000001000001111111111000000000000000000000000000001 +0 887 0001000000000000001000001111111111000000000000000000000000000001 +0 888 0001000000000000001000001111111111000000000000000000000000000001 +0 889 0001000000000000001000001111111111000000000000000000000000000001 +0 890 0001000000000000001000001111111111000000000000000000000000000001 +0 891 0001000000000000001000001111111111000000000000000000000000000001 +0 892 0001000000000000001000001111111111000000000000000000000000000001 +0 893 0001000000000000001000001111111111000000000000000000000000000001 +0 894 0001000000000000001000001111111111000000000000000000000000000001 +0 895 0001000000000000001000001111111111000000000000000000000000000001 +0 896 0001000000000000001000001111111111000000000000000000000000000001 +0 897 0001000000000000001000001111111111000000000000000000000000000001 +0 898 0001000000000000001000001111111111000000000000000000000000000001 +0 899 0001000000000000001000001111111111000000000000000000000000000001 +0 900 0001000000000000001000001111111111000000000000000000000000000001 +0 901 0001000000000000001000001111111111000000000000000000000000000001 +0 902 0001000000000000001000001111111111000000000000000000000000000001 +0 903 0001000000000000001000001111111111000000000000000000000000000001 +0 904 0001000000000000001000001111111111000000000000000000000000000001 +0 905 0001000000000000001000001111111111000000000000000000000000000001 +0 906 0001000000000000001000001111111111000000000000000000000000000001 +0 907 0001000000000000001000001111111111000000000000000000000000000001 +0 908 0001000000000000001000001111111111000000000000000000000000000001 +0 909 0001000000000000001000001111111111000000000000000000000000000001 +0 910 0001000000000000001000001111111111000000000000000000000000000001 +0 911 0001000000000000001000001111111111000000000000000000000000000001 +0 912 0001000000000000001000001111111111000000000000000000000000000001 +0 913 0001000000000000001000001111111111000000000000000000000000000001 +0 914 0001000000000000001000001111111111000000000000000000000000000001 +0 915 0001000000000000001000001111111111000000000000000000000000000001 +0 916 0001000000000000001000001111111111000000000000000000000000000001 +0 917 0001000000000000001000001111111111000000000000000000000000000001 +0 918 0001000000000000001000001111111111000000000000000000000000000001 +0 919 0001000000000000001000001111111111000000000000000000000000000001 +0 920 0001000000000000001000001111111111000000000000000000000000000001 +0 921 0001000000000000001000001111111111000000000000000000000000000001 +0 922 0001000000000000001000001111111111000000000000000000000000000001 +0 923 0001000000000000001000001111111111000000000000000000000000000001 +0 924 0001000000000000001000001111111111000000000000000000000000000001 +0 925 0001000000000000001000001111111111000000000000000000000000000001 +0 926 0001000000000000001000001111111111000000000000000000000000000001 +0 927 0001000000000000001000001111111111000000000000000000000000000001 +0 928 0001000000000000001000001111111111000000000000000000000000000001 +0 929 0001000000000000001000001111111111000000000000000000000000000001 +0 930 0001000000000000001000001111111111000000000000000000000000000001 +0 931 0001000000000000001000001111111111000000000000000000000000000001 +0 932 0001000000000000001000001111111111000000000000000000000000000001 +0 933 0001000000000000001000001111111111000000000000000000000000000001 +0 934 0001000000000000001000001111111111000000000000000000000000000001 +0 935 0001000000000000001000001111111111000000000000000000000000000001 +0 936 0001000000000000001000001111111111000000000000000000000000000001 +0 937 0001000000000000001000001111111111000000000000000000000000000001 +0 938 0001000000000000001000001111111111000000000000000000000000000001 +0 939 0001000000000000001000001111111111000000000000000000000000000001 +0 940 0001000000000000001000001111111111000000000000000000000000000001 +0 941 0001000000000000001000001111111111000000000000000000000000000001 +0 942 0001000000000000001000001111111111000000000000000000000000000001 +0 943 0001000000000000001000001111111111000000000000000000000000000001 +0 944 0001000000000000001000001111111111000000000000000000000000000001 +0 945 0001000000000000001000001111111111000000000000000000000000000001 +0 946 0001000000000000001000001111111111000000000000000000000000000001 +0 947 0001000000000000001000001111111111000000000000000000000000000001 +0 948 0001000000000000001000001111111111000000000000000000000000000001 +0 949 0001000000000000001000001111111111000000000000000000000000000001 +0 950 0001000000000000001000001111111111000000000000000000000000000001 +0 951 0001000000000000001000001111111111000000000000000000000000000001 +0 952 0001000000000000001000001111111111000000000000000000000000000001 +0 953 0001000000000000001000001111111111000000000000000000000000000001 +0 954 0001000000000000001000001111111111000000000000000000000000000001 +0 955 0001000000000000001000001111111111000000000000000000000000000001 +0 956 0001000000000000001000001111111111000000000000000000000000000001 +0 957 0001000000000000001000001111111111000000000000000000000000000001 +0 958 0001000000000000001000001111111111000000000000000000000000000001 +0 959 0001000000000000001000001111111111000000000000000000000000000001 +0 960 0001000000000000001000001111111111000000000000000000000000000001 +0 961 0001000000000000001000001111111111000000000000000000000000000001 +0 962 0001000000000000001000001111111111000000000000000000000000000001 +0 963 0001000000000000001000001111111111000000000000000000000000000001 +0 964 0001000000000000001000001111111111000000000000000000000000000001 +0 965 0001000000000000001000001111111111000000000000000000000000000001 +0 966 0001000000000000001000001111111111000000000000000000000000000001 +0 967 0001000000000000001000001111111111000000000000000000000000000001 +0 968 0001000000000000001000001111111111000000000000000000000000000001 +0 969 0001000000000000001000001111111111000000000000000000000000000001 +0 970 0001000000000000001000001111111111000000000000000000000000000001 +0 971 0001000000000000001000001111111111000000000000000000000000000001 +0 972 0001000000000000001000001111111111000000000000000000000000000001 +0 973 0001000000000000001000001111111111000000000000000000000000000001 +0 974 0001000000000000001000001111111111000000000000000000000000000001 +0 975 0001000000000000001000001111111111000000000000000000000000000001 +0 976 0001000000000000001000001111111111000000000000000000000000000001 +0 977 0001000000000000001000001111111111000000000000000000000000000001 +0 978 0001000000000000001000001111111111000000000000000000000000000001 +0 979 0001000000000000001000001111111111000000000000000000000000000001 +0 980 0001000000000000001000001111111111000000000000000000000000000001 +0 981 0001000000000000001000001111111111000000000000000000000000000001 +0 982 0001000000000000001000001111111111000000000000000000000000000001 +0 983 0001000000000000001000001111111111000000000000000000000000000001 +0 984 0001000000000000001000001111111111000000000000000000000000000001 +0 985 0001000000000000001000001111111111000000000000000000000000000001 +0 986 0001000000000000001000001111111111000000000000000000000000000001 +0 987 0001000000000000001000001111111111000000000000000000000000000001 +0 988 0001000000000000001000001111111111000000000000000000000000000001 +0 989 0001000000000000001000001111111111000000000000000000000000000001 +0 990 0001000000000000001000001111111111000000000000000000000000000001 +0 991 0001000000000000001000001111111111000000000000000000000000000001 +0 992 0001000000000000001000001111111111000000000000000000000000000001 +0 993 0001000000000000001000001111111111000000000000000000000000000001 +0 994 0001000000000000001000001111111111000000000000000000000000000001 +0 995 0001000000000000001000001111111111000000000000000000000000000001 +0 996 0001000000000000001000001111111111000000000000000000000000000001 +0 997 0001000000000000001000001111111111000000000000000000000000000001 +0 998 0001000000000000001000001111111111000000000000000000000000000001 +0 999 0001000000000000001000001111111111000000000000000000000000000001 +0 1000 0001000000000000001000001111111111000000000000000000000000000001 +0 1001 0001000000000000001000001111111111000000000000000000000000000001 +0 1002 0001000000000000001000001111111111000000000000000000000000000001 +0 1003 0001000000000000001000001111111111000000000000000000000000000001 +0 1004 0001000000000000001000001111111111000000000000000000000000000001 +0 1005 0001000000000000001000001111111111000000000000000000000000000001 +0 1006 0001000000000000001000001111111111000000000000000000000000000001 +0 1007 0001000000000000001000001111111111000000000000000000000000000001 +0 1008 0001000000000000001000001111111111000000000000000000000000000001 +0 1009 0001000000000000001000001111111111000000000000000000000000000001 +0 1010 0001000000000000001000001111111111000000000000000000000000000001 +0 1011 0001000000000000001000001111111111000000000000000000000000000001 +0 1012 0001000000000000001000001111111111000000000000000000000000000001 +0 1013 0001000000000000001000001111111111000000000000000000000000000001 +0 1014 0001000000000000001000001111111111000000000000000000000000000001 +0 1015 0001000000000000001000001111111111000000000000000000000000000001 +0 1016 0001000000000000001000001111111111000000000000000000000000000001 +0 1017 0001000000000000001000001111111111000000000000000000000000000001 +0 1018 0001000000000000001000001111111111000000000000000000000000000001 +0 1019 0001000000000000001000001111111111000000000000000000000000000001 +0 1020 0001000000000000001000001111111111000000000000000000000000000001 +0 1021 0001000000000000001000001111111111000000000000000000000000000001 +0 1022 0001000000000000001000001111111111000000000000000000000000000001 +0 1023 0001000000000000001000001111111111000000000000000000000000000001 +1 0 0001000000000010000000001111111111000000000000000000000000000001 +1 1 0001000000000001100110101111111111000000000000000000000000000001 +1 2 0001000000000010000000001111111111000000000000000000000000000001 +1 3 0001000000000010000000001111111111000000000000000000000000000001 +1 4 0001000000000010000000001111111111000000000000000000000000000001 +1 5 0001000000000010000000001111111111000000000000000000000000000001 +1 6 0001000000000010000000001111111111000000000000000000000000000001 +1 7 0001000000000010000000001111111111000000000000000000000000000001 +1 8 0001000000000010110110111011111111000000000000000000000000000001 +1 9 0001000000000010000000001111111111000000000000000000000000000001 +1 10 0001000000000010110110111011111111000000000000000000000000000001 +1 11 0001000000000001100110101111111111000000000000000000000000000001 +1 12 0001000000000010000000001111111111000000000000000000000000000001 +1 13 0001000000000010000000001111111111000000000000000000000000000001 +1 14 0001000000000010110110111011111111000000000000000000000000000001 +1 15 0001000000000010000000001111111111000000000000000000000000000001 +1 16 0001000000000010000000001111111111000000000000000000000000000001 +1 17 0001000000000010000000001111111111000000000000000000000000000001 +1 18 0001000000000010000000001111111111000000000000000000000000000001 +1 19 0001000000000010000000001111111111000000000000000000000000000001 +1 20 0001000000000010000000001111111111000000000000000000000000000001 +1 21 0001000000000010000000001111111111000000000000000000000000000001 +1 22 0001000000000010000000001111111111000000000000000000000000000001 +1 23 0001000000000010000000001111111111000000000000000000000000000001 +1 24 0001000000000010000000001111111111000000000000000000000000000001 +1 25 0001000000000010110110111011111111000000000000000000000000000001 +1 26 0001000000000010000000001111111111000000000000000000000000000001 +1 27 0001000000000010110110111011111111000000000000000000000000000001 +1 28 0001000000000010000000001111111111000000000000000000000000000001 +1 29 0001000000000010110110111011111111000000000000000000000000000001 +1 30 0001000000000010000000001111111111000000000000000000000000000001 +1 31 0001000000000010110110111011111111000000000000000000000000000001 +1 32 0001000000000010000000001111111111000000000000000000000000000001 +1 33 0001000000000010000000001111111111000000000000000000000000000001 +1 34 0001000000000010000000001111111111000000000000000000000000000001 +1 35 0001000000000010000000001111111111000000000000000000000000000001 +1 36 0001000000000010000000001111111111000000000000000000000000000001 +1 37 0001000000000010000000001111111111000000000000000000000000000001 +1 38 0001000000000010000000001111111111000000000000000000000000000001 +1 39 0001000000000010000000001111111111000000000000000000000000000001 +1 40 0001000000000010000000001111111111000000000000000000000000000001 +1 41 0001000000000010110110111011111111000000000000000000000000000001 +1 42 0001000000000010000000001111111111000000000000000000000000000001 +1 43 0001000000000010110110111011111111000000000000000000000000000001 +1 44 0001000000000010000000001111111111000000000000000000000000000001 +1 45 0001000000000010110110111011111111000000000000000000000000000001 +1 46 0001000000000010000000001111111111000000000000000000000000000001 +1 47 0001000000000010110110111011111111000000000000000000000000000001 diff --git a/test/input/noc_tests/random_pe_to_pe_test/stimuli.txt b/test/input/noc_tests/random_pe_to_pe_test/stimuli.txt index 03f644a..d513d6d 100644 --- a/test/input/noc_tests/random_pe_to_pe_test/stimuli.txt +++ b/test/input/noc_tests/random_pe_to_pe_test/stimuli.txt @@ -1,3 +1,11 @@ # is external? | path | data -0 272 0001000000000001110111100100010000000000000000000000000000000001 # origin: (11111-01011) --> dest: (01110-11110,00110-01110) -0 863 0001000000000010100010111100111111000000000000000000000000000001 # origin: (01100-00000) --> dest: (10100-01011,00101-00000,10101-00001,11101-10111) +0 346 0001000000000010000011000100010001000000000000000000000000000001 # origin: (11100-00011) --> dest: (10000-01100,11000-11101) +0 14 0001000000000011001011101010001001000000000000000000000000000001 # origin: (11100-11101) --> dest: (11001-01110,01101-00111) +0 459 0001000000000001100110101111111111000000000000000000000000000001 # origin: (10100-00110) --> dest: (01100-11010,01011-00010,01110-10100,11001-00001,01110-00100,00111-10001) +0 968 0001000000000001101110010000000000000000000000000000000000000001 # origin: (00101-00111) --> dest: (01101-11001) +0 535 0001000000000011101011110000000000000000000000000000000000000001 # origin: (01110-11000) --> dest: (11101-01111) +0 933 0001000000000001110010100000000000000000000000000000000000000001 # origin: (00011-01100) --> dest: (01110-01010) +0 665 0001000000000010000000001111111111000000000000000000000000000001 # origin: (00101-11010) --> dest: (10000-00000,01000-11100,10000-11000,10110-10110,10001-11011,10010-00110) +0 60 0001000000000000001000001111111111000000000000000000000000000001 # origin: (11001-11001) --> dest: (00001-00000,10111-00011,01110-01111,10000-11101) +0 798 0001000000000010110110111011111111000000000000000000000000000001 # origin: (01100-01001) --> dest: (10110-11011,10001-11110,00011-00101,10101-01110) +0 100 0001000000000011100101100000000000000000000000000000000000000001 # origin: (11011-10101) --> dest: (11100-10110) diff --git a/test/input/noc_tests/random_pe_to_pe_test/test.log b/test/input/noc_tests/random_pe_to_pe_test/test.log index c7e4d30..62df169 100644 --- a/test/input/noc_tests/random_pe_to_pe_test/test.log +++ b/test/input/noc_tests/random_pe_to_pe_test/test.log @@ -1,130 +1,2145 @@ -0 221 0001000000000010101100000000000000000000000000000000000000000001 +0 75 0001000000000011100101100000000000000000000000000000000000000001 ---> passed! -0 145 0001000000000010100110001011110010000000000000000000000000000001 +0 787 0001000000000001110010100000000000000000000000000000000000000001 ---> passed! -0 147 0001000000000010100110001011110010000000000000000000000000000001 +0 0 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 149 0001000000000010100110001011110010000000000000000000000000000001 +0 1 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 151 0001000000000010100110001011110010000000000000000000000000000001 +0 2 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 153 0001000000000010100110001011110010000000000000000000000000000001 +0 3 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 155 0001000000000010100110001011110010000000000000000000000000000001 +0 4 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 157 0001000000000010100110001011110010000000000000000000000000000001 +0 5 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 159 0001000000000010100110001011110010000000000000000000000000000001 +0 6 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 177 0001000000000010100110001011110010000000000000000000000000000001 +0 7 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 179 0001000000000010100110001011110010000000000000000000000000000001 +0 8 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 181 0001000000000010100110001011110010000000000000000000000000000001 +0 9 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 183 0001000000000010100110001011110010000000000000000000000000000001 +0 10 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 185 0001000000000010100110001011110010000000000000000000000000000001 +0 11 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 187 0001000000000010100110001011110010000000000000000000000000000001 +0 12 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 189 0001000000000010100110001011110010000000000000000000000000000001 +0 13 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 191 0001000000000010100110001011110010000000000000000000000000000001 +0 14 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 401 0001000000000010100110001011110010000000000000000000000000000001 +0 15 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 403 0001000000000010100110001011110010000000000000000000000000000001 +0 16 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 405 0001000000000010100110001011110010000000000000000000000000000001 +0 17 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 407 0001000000000010100110001011110010000000000000000000000000000001 +0 18 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 409 0001000000000010100110001011110010000000000000000000000000000001 +0 19 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 411 0001000000000010100110001011110010000000000000000000000000000001 +0 20 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 413 0001000000000010100110001011110010000000000000000000000000000001 +0 21 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 415 0001000000000010100110001011110010000000000000000000000000000001 +0 22 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 433 0001000000000010100110001011110010000000000000000000000000000001 +0 23 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 435 0001000000000010100110001011110010000000000000000000000000000001 +0 24 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 437 0001000000000010100110001011110010000000000000000000000000000001 +0 25 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 439 0001000000000010100110001011110010000000000000000000000000000001 +0 26 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 441 0001000000000010100110001011110010000000000000000000000000000001 +0 27 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 443 0001000000000010100110001011110010000000000000000000000000000001 +0 28 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 445 0001000000000010100110001011110010000000000000000000000000000001 +0 29 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 447 0001000000000010100110001011110010000000000000000000000000000001 +0 30 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 657 0001000000000010100110001011110010000000000000000000000000000001 +0 31 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 659 0001000000000010100110001011110010000000000000000000000000000001 +0 32 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 661 0001000000000010100110001011110010000000000000000000000000000001 +0 33 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 663 0001000000000010100110001011110010000000000000000000000000000001 +0 34 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 665 0001000000000010100110001011110010000000000000000000000000000001 +0 35 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 667 0001000000000010100110001011110010000000000000000000000000000001 +0 36 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 669 0001000000000010100110001011110010000000000000000000000000000001 +0 37 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 671 0001000000000010100110001011110010000000000000000000000000000001 +0 38 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 689 0001000000000010100110001011110010000000000000000000000000000001 +0 39 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 691 0001000000000010100110001011110010000000000000000000000000000001 +0 40 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 693 0001000000000010100110001011110010000000000000000000000000000001 +0 41 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 695 0001000000000010100110001011110010000000000000000000000000000001 +0 42 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 697 0001000000000010100110001011110010000000000000000000000000000001 +0 43 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 699 0001000000000010100110001011110010000000000000000000000000000001 +0 44 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 701 0001000000000010100110001011110010000000000000000000000000000001 +0 45 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 703 0001000000000010100110001011110010000000000000000000000000000001 +0 46 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 913 0001000000000010100110001011110010000000000000000000000000000001 +0 47 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 915 0001000000000010100110001011110010000000000000000000000000000001 +0 48 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 917 0001000000000010100110001011110010000000000000000000000000000001 +0 49 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 919 0001000000000010100110001011110010000000000000000000000000000001 +0 50 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 921 0001000000000010100110001011110010000000000000000000000000000001 +0 51 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 923 0001000000000010100110001011110010000000000000000000000000000001 +0 52 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 925 0001000000000010100110001011110010000000000000000000000000000001 +0 53 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 927 0001000000000010100110001011110010000000000000000000000000000001 +0 54 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 945 0001000000000010100110001011110010000000000000000000000000000001 +0 55 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 947 0001000000000010100110001011110010000000000000000000000000000001 +0 56 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 949 0001000000000010100110001011110010000000000000000000000000000001 +0 57 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 951 0001000000000010100110001011110010000000000000000000000000000001 +0 58 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 953 0001000000000010100110001011110010000000000000000000000000000001 +0 59 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 955 0001000000000010100110001011110010000000000000000000000000000001 +0 60 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 957 0001000000000010100110001011110010000000000000000000000000000001 +0 61 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 959 0001000000000010100110001011110010000000000000000000000000000001 +0 62 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 63 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 64 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 65 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 66 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 67 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 68 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 69 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 70 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 71 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 72 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 73 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 74 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 76 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 77 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 78 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 79 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 80 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 81 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 82 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 83 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 84 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 85 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 86 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 87 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 88 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 89 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 90 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 91 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 92 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 93 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 94 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 95 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 96 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 97 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 98 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 99 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 100 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 101 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 102 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 103 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 104 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 105 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 106 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 107 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 108 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 109 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 110 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 111 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 112 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 113 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 114 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 115 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 116 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 117 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 118 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 119 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 120 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 121 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 122 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 123 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 124 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 125 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 126 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 127 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 128 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 129 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 130 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 131 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 132 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 133 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 134 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 135 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 136 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 137 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 138 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 139 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 140 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 141 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 142 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 143 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 144 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 145 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 146 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 147 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 148 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 149 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 150 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 151 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 152 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 153 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 154 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 155 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 156 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 157 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 158 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 159 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 160 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 161 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 162 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 163 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 164 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 165 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 166 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 167 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 168 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 169 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 170 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 171 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 172 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 173 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 174 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 175 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 176 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 177 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 178 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 179 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 180 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 181 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 182 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 183 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 184 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 185 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 186 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 187 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 188 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 189 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 190 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 191 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 192 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 193 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 194 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 195 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 196 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 197 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 198 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 199 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 200 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 201 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 202 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 203 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 204 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 205 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 206 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 207 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 208 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 209 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 210 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 211 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 212 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 213 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 214 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 215 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 216 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 217 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 218 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 219 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 220 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 221 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 222 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 223 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 224 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 225 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 226 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 227 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 228 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 229 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 230 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 231 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 232 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 233 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 234 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 235 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 236 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 237 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 238 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 239 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 240 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 241 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 242 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 243 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 244 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 245 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 246 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 247 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 248 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 249 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 250 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 251 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 252 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 253 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 254 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 255 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 256 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 257 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 258 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 259 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 260 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 261 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 262 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 263 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 264 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 265 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 266 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 267 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 268 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 269 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 270 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 271 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 272 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 273 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 274 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 275 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 276 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 277 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 278 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 279 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 280 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 281 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 282 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 283 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 284 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 285 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 286 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 287 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 288 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 289 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 290 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 291 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 292 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 293 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 294 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 295 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 296 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 297 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 298 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 299 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 300 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 301 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 302 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 303 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 304 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 305 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 306 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 307 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 308 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 309 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 310 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 311 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 312 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 313 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 314 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 315 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 316 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 317 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 318 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 319 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 320 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 321 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 322 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 323 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 324 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 325 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 326 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 327 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 328 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 329 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 330 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 331 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 332 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 333 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 334 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 335 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 336 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 337 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 338 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 339 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 340 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 341 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 342 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 343 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 344 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 345 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 346 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 347 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 348 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 349 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 350 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 351 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 352 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 353 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 354 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 355 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 356 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 357 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 358 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 359 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 360 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 361 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 362 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 363 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 364 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 365 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 366 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 367 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 368 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 369 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 370 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 371 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 372 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 373 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 374 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 375 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 376 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 377 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 378 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 379 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 380 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 381 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 382 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 383 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 384 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 385 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 386 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 387 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 388 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 389 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 390 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 391 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 392 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 393 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 394 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 395 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 396 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 397 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 398 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 399 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 400 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 401 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 402 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 403 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 404 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 405 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 406 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 407 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 408 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 409 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 410 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 411 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 412 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 413 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 414 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 415 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 416 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 417 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 418 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 419 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 420 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 421 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 422 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 423 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 424 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 425 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 426 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 427 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 428 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 429 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 430 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 431 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 432 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 433 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 434 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 435 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 436 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 437 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 438 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 439 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 440 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 441 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 442 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 443 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 444 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 445 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 446 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 447 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 448 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 449 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 450 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 451 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 452 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 453 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 454 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 455 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 456 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 457 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 458 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 459 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 460 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 461 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 462 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 463 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 464 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 465 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 466 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 467 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 468 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 469 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 470 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 471 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 472 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 473 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 474 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 475 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 476 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 477 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 478 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 479 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 480 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 481 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 482 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 483 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 484 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 485 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 486 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 487 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 488 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 489 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 490 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 491 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 492 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 493 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 494 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 495 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 496 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 497 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 498 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 499 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 500 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 501 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 502 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 503 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 504 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 505 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 506 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 507 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 508 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 509 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 510 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 511 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 512 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 513 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 514 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 515 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 516 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 517 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 518 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 519 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 520 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 521 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 522 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 523 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 524 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 525 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 526 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 527 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 528 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 529 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 530 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 531 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 532 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 533 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 534 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 535 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 536 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 537 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 538 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 539 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 540 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 541 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 542 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 543 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 544 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 545 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 546 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 547 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 548 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 549 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 550 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 551 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 552 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 553 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 554 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 555 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 556 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 557 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 558 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 559 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 560 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 561 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 562 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 563 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 564 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 565 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 566 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 567 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 568 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 569 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 570 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 571 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 572 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 573 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 574 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 575 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 576 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 577 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 578 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 579 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 580 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 581 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 582 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 583 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 584 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 585 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 586 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 587 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 588 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 589 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 590 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 591 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 592 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 593 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 594 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 595 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 596 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 597 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 598 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 599 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 600 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 601 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 602 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 603 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 604 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 605 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 606 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 607 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 608 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 609 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 610 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 611 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 612 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 613 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 614 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 615 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 616 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 617 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 618 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 619 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 620 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 621 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 622 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 623 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 624 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 625 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 626 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 627 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 628 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 629 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 630 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 631 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 632 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 633 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 634 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 635 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 636 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 637 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 638 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 639 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 640 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 641 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 642 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 643 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 644 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 645 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 646 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 647 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 648 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 649 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 650 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 651 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 652 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 653 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 654 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 655 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 656 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 657 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 658 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 659 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 660 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 661 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 662 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 663 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 664 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 665 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 666 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 667 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 668 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 669 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 670 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 671 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 672 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 673 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 674 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 675 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 676 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 677 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 678 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 679 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 680 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 681 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 682 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 683 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 684 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 685 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 686 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 687 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 688 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 689 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 690 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 691 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 692 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 693 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 694 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 695 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 696 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 697 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 698 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 699 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 700 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 701 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 702 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 703 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 704 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 705 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 706 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 707 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 708 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 709 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 710 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 711 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 712 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 713 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 714 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 715 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 716 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 717 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 718 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 719 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 720 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 721 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 722 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 723 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 724 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 725 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 726 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 727 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 728 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 729 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 730 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 731 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 732 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 733 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 734 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 735 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 736 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 737 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 738 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 739 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 740 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 741 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 742 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 743 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 744 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 745 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 746 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 747 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 748 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 749 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 750 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 751 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 752 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 753 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 754 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 755 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 756 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 757 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 758 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 759 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 760 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 761 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 762 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 763 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 764 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 765 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 766 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 767 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 768 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 769 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 770 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 771 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 772 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 773 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 774 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 775 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 776 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 777 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 778 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 779 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 780 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 781 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 782 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 783 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 784 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 785 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 786 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 788 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 789 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 790 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 791 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 792 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 793 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 794 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 795 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 796 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 797 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 798 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 799 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 800 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 801 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 802 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 803 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 804 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 805 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 806 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 807 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 808 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 809 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 810 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 811 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 812 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 813 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 814 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 815 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 816 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 817 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 818 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 819 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 820 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 821 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 822 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 823 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 824 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 825 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 826 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 827 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 828 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 829 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 830 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 831 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 832 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 833 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 834 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 835 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 836 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 837 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 838 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 839 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 840 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 841 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 842 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 843 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 844 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 845 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 846 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 847 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 848 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 849 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 850 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 851 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 852 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 853 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 854 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 855 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 856 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 857 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 858 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 859 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 860 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 861 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 862 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 863 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 864 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 865 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 866 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 867 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 868 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 869 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 870 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 871 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 872 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 873 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 874 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 875 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 876 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 877 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 878 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 879 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 880 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 881 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 882 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 883 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 884 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 885 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 886 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 887 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 888 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 889 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 890 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 891 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 892 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 893 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 894 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 895 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 896 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 897 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 898 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 899 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 900 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 901 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 902 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 903 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 904 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 905 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 906 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 907 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 908 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 909 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 910 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 911 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 912 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 913 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 914 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 915 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 916 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 917 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 918 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 919 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 920 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 921 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 922 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 923 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 924 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 925 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 926 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 927 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 928 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 929 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 930 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 931 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 932 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 933 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 934 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 935 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 936 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 937 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 938 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 939 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 940 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 941 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 942 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 943 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 944 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 945 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 946 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 947 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 948 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 949 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 950 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 951 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 952 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 953 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 954 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 955 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 956 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 957 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 958 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 959 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 960 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 961 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 962 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 963 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 964 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 965 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 966 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 967 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 968 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 969 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 970 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 971 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 972 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 973 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 974 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 975 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 976 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 977 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 978 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 979 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 980 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 981 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 982 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 983 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 984 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 985 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 986 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 987 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 988 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 989 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 990 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 991 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 992 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 993 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 994 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 995 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 996 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 997 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 998 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 999 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1000 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1001 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1002 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1003 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1004 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1005 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1006 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1007 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1008 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1009 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1010 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1011 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1012 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1013 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1014 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1015 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1016 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1017 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1018 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1019 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1020 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1021 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1022 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1023 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +1 0 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 1 0001000000000001100110101111111111000000000000000000000000000001 +---> failed! +1 2 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 3 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 4 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 5 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 6 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 7 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 8 0001000000000010110110111011111111000000000000000000000000000001 +---> failed! +1 9 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 10 0001000000000010110110111011111111000000000000000000000000000001 +---> failed! +1 11 0001000000000001100110101111111111000000000000000000000000000001 +---> failed! +1 12 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 13 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 14 0001000000000010110110111011111111000000000000000000000000000001 +---> failed! +1 15 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 16 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 17 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 18 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 19 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 20 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 21 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 22 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 23 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 24 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 25 0001000000000010110110111011111111000000000000000000000000000001 +---> failed! +1 26 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 27 0001000000000010110110111011111111000000000000000000000000000001 +---> failed! +1 28 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 29 0001000000000010110110111011111111000000000000000000000000000001 +---> failed! +1 30 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 31 0001000000000010110110111011111111000000000000000000000000000001 +---> failed! +1 32 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 33 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 34 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 35 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 36 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 37 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 38 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 39 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 40 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 41 0001000000000010110110111011111111000000000000000000000000000001 +---> failed! +1 42 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 43 0001000000000010110110111011111111000000000000000000000000000001 +---> failed! +1 44 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 45 0001000000000010110110111011111111000000000000000000000000000001 +---> failed! +1 46 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 47 0001000000000010110110111011111111000000000000000000000000000001 +---> failed! +One or more test failed :( diff --git a/test/scripts/generate_test_files/generate_test_files.py b/test/scripts/generate_test_files/generate_test_files.py index 2a67702..4923e33 100644 --- a/test/scripts/generate_test_files/generate_test_files.py +++ b/test/scripts/generate_test_files/generate_test_files.py @@ -5,17 +5,15 @@ from utils import * #sender_paths = [["01100","00000"]] # x,y #recv_paths = [["00010","01110","11110","10011","10011","00000", # "01101","01001","11011","11011","10101","01100"]] -sender_paths, recv_paths = generate_random_send_recv_paths(2) +sender_paths, recv_paths = generate_random_send_recv_paths(10) test_name = "random_pe_to_pe_test" -print(sender_paths) -print(recv_paths) # constants stimuli = "# is external? | path | data\n" reference = "" +data_packet = 1 for p, path in enumerate(sender_paths): - data_packet = 1 if len(path) == 2: index_path = get_index_path(path[0], path[1]) stimuli += "0 " + str(index_path) + " " @@ -28,7 +26,6 @@ for p, path in enumerate(sender_paths): else: data_packet, stimuli, reference = gen_ref_for_mult_dir_multicast( path, recv_paths[p], data_packet, stimuli, reference) - print(len(reference)) data_packet += 1 with open("stimuli.txt", "w") as f: diff --git a/test/scripts/generate_test_files/result.ref b/test/scripts/generate_test_files/result.ref index bd889b2..d5d7e9c 100644 --- a/test/scripts/generate_test_files/result.ref +++ b/test/scripts/generate_test_files/result.ref @@ -1,260 +1,3612 @@ -0 899 0001000000000001110111100100010000000000000000000000000000000001 -0 771 0001000000000001110111100100010000000000000000000000000000000001 -0 643 0001000000000001110111100100010000000000000000000000000000000001 -0 515 0001000000000001110111100100010000000000000000000000000000000001 -0 991 0001000000000010100010111100111111000000000000000000000000000001 -0 990 0001000000000010100010111100111111000000000000000000000000000001 -0 989 0001000000000010100010111100111111000000000000000000000000000001 -0 988 0001000000000010100010111100111111000000000000000000000000000001 -0 987 0001000000000010100010111100111111000000000000000000000000000001 -0 986 0001000000000010100010111100111111000000000000000000000000000001 -0 985 0001000000000010100010111100111111000000000000000000000000000001 -0 984 0001000000000010100010111100111111000000000000000000000000000001 -0 975 0001000000000010100010111100111111000000000000000000000000000001 -0 974 0001000000000010100010111100111111000000000000000000000000000001 -0 973 0001000000000010100010111100111111000000000000000000000000000001 -0 972 0001000000000010100010111100111111000000000000000000000000000001 -0 971 0001000000000010100010111100111111000000000000000000000000000001 -0 970 0001000000000010100010111100111111000000000000000000000000000001 -0 969 0001000000000010100010111100111111000000000000000000000000000001 -0 968 0001000000000010100010111100111111000000000000000000000000000001 -0 927 0001000000000010100010111100111111000000000000000000000000000001 -0 926 0001000000000010100010111100111111000000000000000000000000000001 -0 925 0001000000000010100010111100111111000000000000000000000000000001 -0 924 0001000000000010100010111100111111000000000000000000000000000001 -0 923 0001000000000010100010111100111111000000000000000000000000000001 -0 922 0001000000000010100010111100111111000000000000000000000000000001 -0 921 0001000000000010100010111100111111000000000000000000000000000001 -0 920 0001000000000010100010111100111111000000000000000000000000000001 -0 911 0001000000000010100010111100111111000000000000000000000000000001 -0 910 0001000000000010100010111100111111000000000000000000000000000001 -0 909 0001000000000010100010111100111111000000000000000000000000000001 -0 908 0001000000000010100010111100111111000000000000000000000000000001 -0 907 0001000000000010100010111100111111000000000000000000000000000001 -0 906 0001000000000010100010111100111111000000000000000000000000000001 -0 905 0001000000000010100010111100111111000000000000000000000000000001 -0 904 0001000000000010100010111100111111000000000000000000000000000001 -0 863 0001000000000010100010111100111111000000000000000000000000000001 -0 862 0001000000000010100010111100111111000000000000000000000000000001 -0 861 0001000000000010100010111100111111000000000000000000000000000001 -0 860 0001000000000010100010111100111111000000000000000000000000000001 -0 859 0001000000000010100010111100111111000000000000000000000000000001 -0 858 0001000000000010100010111100111111000000000000000000000000000001 -0 857 0001000000000010100010111100111111000000000000000000000000000001 -0 856 0001000000000010100010111100111111000000000000000000000000000001 -0 847 0001000000000010100010111100111111000000000000000000000000000001 -0 846 0001000000000010100010111100111111000000000000000000000000000001 -0 845 0001000000000010100010111100111111000000000000000000000000000001 -0 844 0001000000000010100010111100111111000000000000000000000000000001 -0 843 0001000000000010100010111100111111000000000000000000000000000001 -0 842 0001000000000010100010111100111111000000000000000000000000000001 -0 841 0001000000000010100010111100111111000000000000000000000000000001 -0 840 0001000000000010100010111100111111000000000000000000000000000001 -0 799 0001000000000010100010111100111111000000000000000000000000000001 -0 798 0001000000000010100010111100111111000000000000000000000000000001 -0 797 0001000000000010100010111100111111000000000000000000000000000001 -0 796 0001000000000010100010111100111111000000000000000000000000000001 -0 795 0001000000000010100010111100111111000000000000000000000000000001 -0 794 0001000000000010100010111100111111000000000000000000000000000001 -0 793 0001000000000010100010111100111111000000000000000000000000000001 -0 792 0001000000000010100010111100111111000000000000000000000000000001 -0 783 0001000000000010100010111100111111000000000000000000000000000001 -0 782 0001000000000010100010111100111111000000000000000000000000000001 -0 781 0001000000000010100010111100111111000000000000000000000000000001 -0 780 0001000000000010100010111100111111000000000000000000000000000001 -0 779 0001000000000010100010111100111111000000000000000000000000000001 -0 778 0001000000000010100010111100111111000000000000000000000000000001 -0 777 0001000000000010100010111100111111000000000000000000000000000001 -0 776 0001000000000010100010111100111111000000000000000000000000000001 -0 735 0001000000000010100010111100111111000000000000000000000000000001 -0 734 0001000000000010100010111100111111000000000000000000000000000001 -0 733 0001000000000010100010111100111111000000000000000000000000000001 -0 732 0001000000000010100010111100111111000000000000000000000000000001 -0 731 0001000000000010100010111100111111000000000000000000000000000001 -0 730 0001000000000010100010111100111111000000000000000000000000000001 -0 729 0001000000000010100010111100111111000000000000000000000000000001 -0 728 0001000000000010100010111100111111000000000000000000000000000001 -0 719 0001000000000010100010111100111111000000000000000000000000000001 -0 718 0001000000000010100010111100111111000000000000000000000000000001 -0 717 0001000000000010100010111100111111000000000000000000000000000001 -0 716 0001000000000010100010111100111111000000000000000000000000000001 -0 715 0001000000000010100010111100111111000000000000000000000000000001 -0 714 0001000000000010100010111100111111000000000000000000000000000001 -0 713 0001000000000010100010111100111111000000000000000000000000000001 -0 712 0001000000000010100010111100111111000000000000000000000000000001 -0 671 0001000000000010100010111100111111000000000000000000000000000001 -0 670 0001000000000010100010111100111111000000000000000000000000000001 -0 669 0001000000000010100010111100111111000000000000000000000000000001 -0 668 0001000000000010100010111100111111000000000000000000000000000001 -0 667 0001000000000010100010111100111111000000000000000000000000000001 -0 666 0001000000000010100010111100111111000000000000000000000000000001 -0 665 0001000000000010100010111100111111000000000000000000000000000001 -0 664 0001000000000010100010111100111111000000000000000000000000000001 -0 655 0001000000000010100010111100111111000000000000000000000000000001 -0 654 0001000000000010100010111100111111000000000000000000000000000001 -0 653 0001000000000010100010111100111111000000000000000000000000000001 -0 652 0001000000000010100010111100111111000000000000000000000000000001 -0 651 0001000000000010100010111100111111000000000000000000000000000001 -0 650 0001000000000010100010111100111111000000000000000000000000000001 -0 649 0001000000000010100010111100111111000000000000000000000000000001 -0 648 0001000000000010100010111100111111000000000000000000000000000001 -0 607 0001000000000010100010111100111111000000000000000000000000000001 -0 606 0001000000000010100010111100111111000000000000000000000000000001 -0 605 0001000000000010100010111100111111000000000000000000000000000001 -0 604 0001000000000010100010111100111111000000000000000000000000000001 -0 603 0001000000000010100010111100111111000000000000000000000000000001 -0 602 0001000000000010100010111100111111000000000000000000000000000001 -0 601 0001000000000010100010111100111111000000000000000000000000000001 -0 600 0001000000000010100010111100111111000000000000000000000000000001 -0 591 0001000000000010100010111100111111000000000000000000000000000001 -0 590 0001000000000010100010111100111111000000000000000000000000000001 -0 589 0001000000000010100010111100111111000000000000000000000000000001 -0 588 0001000000000010100010111100111111000000000000000000000000000001 -0 587 0001000000000010100010111100111111000000000000000000000000000001 -0 586 0001000000000010100010111100111111000000000000000000000000000001 -0 585 0001000000000010100010111100111111000000000000000000000000000001 -0 584 0001000000000010100010111100111111000000000000000000000000000001 -0 543 0001000000000010100010111100111111000000000000000000000000000001 -0 542 0001000000000010100010111100111111000000000000000000000000000001 -0 541 0001000000000010100010111100111111000000000000000000000000000001 -0 540 0001000000000010100010111100111111000000000000000000000000000001 -0 539 0001000000000010100010111100111111000000000000000000000000000001 -0 538 0001000000000010100010111100111111000000000000000000000000000001 -0 537 0001000000000010100010111100111111000000000000000000000000000001 -0 536 0001000000000010100010111100111111000000000000000000000000000001 -0 527 0001000000000010100010111100111111000000000000000000000000000001 -0 526 0001000000000010100010111100111111000000000000000000000000000001 -0 525 0001000000000010100010111100111111000000000000000000000000000001 -0 524 0001000000000010100010111100111111000000000000000000000000000001 -0 523 0001000000000010100010111100111111000000000000000000000000000001 -0 522 0001000000000010100010111100111111000000000000000000000000000001 -0 521 0001000000000010100010111100111111000000000000000000000000000001 -0 520 0001000000000010100010111100111111000000000000000000000000000001 -0 479 0001000000000010100010111100111111000000000000000000000000000001 -0 478 0001000000000010100010111100111111000000000000000000000000000001 -0 477 0001000000000010100010111100111111000000000000000000000000000001 -0 476 0001000000000010100010111100111111000000000000000000000000000001 -0 475 0001000000000010100010111100111111000000000000000000000000000001 -0 474 0001000000000010100010111100111111000000000000000000000000000001 -0 473 0001000000000010100010111100111111000000000000000000000000000001 -0 472 0001000000000010100010111100111111000000000000000000000000000001 -0 463 0001000000000010100010111100111111000000000000000000000000000001 -0 462 0001000000000010100010111100111111000000000000000000000000000001 -0 461 0001000000000010100010111100111111000000000000000000000000000001 -0 460 0001000000000010100010111100111111000000000000000000000000000001 -0 459 0001000000000010100010111100111111000000000000000000000000000001 -0 458 0001000000000010100010111100111111000000000000000000000000000001 -0 457 0001000000000010100010111100111111000000000000000000000000000001 -0 456 0001000000000010100010111100111111000000000000000000000000000001 -0 415 0001000000000010100010111100111111000000000000000000000000000001 -0 414 0001000000000010100010111100111111000000000000000000000000000001 -0 413 0001000000000010100010111100111111000000000000000000000000000001 -0 412 0001000000000010100010111100111111000000000000000000000000000001 -0 411 0001000000000010100010111100111111000000000000000000000000000001 -0 410 0001000000000010100010111100111111000000000000000000000000000001 -0 409 0001000000000010100010111100111111000000000000000000000000000001 -0 408 0001000000000010100010111100111111000000000000000000000000000001 -0 399 0001000000000010100010111100111111000000000000000000000000000001 -0 398 0001000000000010100010111100111111000000000000000000000000000001 -0 397 0001000000000010100010111100111111000000000000000000000000000001 -0 396 0001000000000010100010111100111111000000000000000000000000000001 -0 395 0001000000000010100010111100111111000000000000000000000000000001 -0 394 0001000000000010100010111100111111000000000000000000000000000001 -0 393 0001000000000010100010111100111111000000000000000000000000000001 -0 392 0001000000000010100010111100111111000000000000000000000000000001 -0 351 0001000000000010100010111100111111000000000000000000000000000001 -0 350 0001000000000010100010111100111111000000000000000000000000000001 -0 349 0001000000000010100010111100111111000000000000000000000000000001 -0 348 0001000000000010100010111100111111000000000000000000000000000001 -0 347 0001000000000010100010111100111111000000000000000000000000000001 -0 346 0001000000000010100010111100111111000000000000000000000000000001 -0 345 0001000000000010100010111100111111000000000000000000000000000001 -0 344 0001000000000010100010111100111111000000000000000000000000000001 -0 335 0001000000000010100010111100111111000000000000000000000000000001 -0 334 0001000000000010100010111100111111000000000000000000000000000001 -0 333 0001000000000010100010111100111111000000000000000000000000000001 -0 332 0001000000000010100010111100111111000000000000000000000000000001 -0 331 0001000000000010100010111100111111000000000000000000000000000001 -0 330 0001000000000010100010111100111111000000000000000000000000000001 -0 329 0001000000000010100010111100111111000000000000000000000000000001 -0 328 0001000000000010100010111100111111000000000000000000000000000001 -0 287 0001000000000010100010111100111111000000000000000000000000000001 -0 286 0001000000000010100010111100111111000000000000000000000000000001 -0 285 0001000000000010100010111100111111000000000000000000000000000001 -0 284 0001000000000010100010111100111111000000000000000000000000000001 -0 283 0001000000000010100010111100111111000000000000000000000000000001 -0 282 0001000000000010100010111100111111000000000000000000000000000001 -0 281 0001000000000010100010111100111111000000000000000000000000000001 -0 280 0001000000000010100010111100111111000000000000000000000000000001 -0 271 0001000000000010100010111100111111000000000000000000000000000001 -0 270 0001000000000010100010111100111111000000000000000000000000000001 -0 269 0001000000000010100010111100111111000000000000000000000000000001 -0 268 0001000000000010100010111100111111000000000000000000000000000001 -0 267 0001000000000010100010111100111111000000000000000000000000000001 -0 266 0001000000000010100010111100111111000000000000000000000000000001 -0 265 0001000000000010100010111100111111000000000000000000000000000001 -0 264 0001000000000010100010111100111111000000000000000000000000000001 -0 223 0001000000000010100010111100111111000000000000000000000000000001 -0 222 0001000000000010100010111100111111000000000000000000000000000001 -0 221 0001000000000010100010111100111111000000000000000000000000000001 -0 220 0001000000000010100010111100111111000000000000000000000000000001 -0 219 0001000000000010100010111100111111000000000000000000000000000001 -0 218 0001000000000010100010111100111111000000000000000000000000000001 -0 217 0001000000000010100010111100111111000000000000000000000000000001 -0 216 0001000000000010100010111100111111000000000000000000000000000001 -0 207 0001000000000010100010111100111111000000000000000000000000000001 -0 206 0001000000000010100010111100111111000000000000000000000000000001 -0 205 0001000000000010100010111100111111000000000000000000000000000001 -0 204 0001000000000010100010111100111111000000000000000000000000000001 -0 203 0001000000000010100010111100111111000000000000000000000000000001 -0 202 0001000000000010100010111100111111000000000000000000000000000001 -0 201 0001000000000010100010111100111111000000000000000000000000000001 -0 200 0001000000000010100010111100111111000000000000000000000000000001 -0 159 0001000000000010100010111100111111000000000000000000000000000001 -0 158 0001000000000010100010111100111111000000000000000000000000000001 -0 157 0001000000000010100010111100111111000000000000000000000000000001 -0 156 0001000000000010100010111100111111000000000000000000000000000001 -0 155 0001000000000010100010111100111111000000000000000000000000000001 -0 154 0001000000000010100010111100111111000000000000000000000000000001 -0 153 0001000000000010100010111100111111000000000000000000000000000001 -0 152 0001000000000010100010111100111111000000000000000000000000000001 -0 143 0001000000000010100010111100111111000000000000000000000000000001 -0 142 0001000000000010100010111100111111000000000000000000000000000001 -0 141 0001000000000010100010111100111111000000000000000000000000000001 -0 140 0001000000000010100010111100111111000000000000000000000000000001 -0 139 0001000000000010100010111100111111000000000000000000000000000001 -0 138 0001000000000010100010111100111111000000000000000000000000000001 -0 137 0001000000000010100010111100111111000000000000000000000000000001 -0 136 0001000000000010100010111100111111000000000000000000000000000001 -0 95 0001000000000010100010111100111111000000000000000000000000000001 -0 94 0001000000000010100010111100111111000000000000000000000000000001 -0 93 0001000000000010100010111100111111000000000000000000000000000001 -0 92 0001000000000010100010111100111111000000000000000000000000000001 -0 91 0001000000000010100010111100111111000000000000000000000000000001 -0 90 0001000000000010100010111100111111000000000000000000000000000001 -0 89 0001000000000010100010111100111111000000000000000000000000000001 -0 88 0001000000000010100010111100111111000000000000000000000000000001 -0 79 0001000000000010100010111100111111000000000000000000000000000001 -0 78 0001000000000010100010111100111111000000000000000000000000000001 -0 77 0001000000000010100010111100111111000000000000000000000000000001 -0 76 0001000000000010100010111100111111000000000000000000000000000001 -0 75 0001000000000010100010111100111111000000000000000000000000000001 -0 74 0001000000000010100010111100111111000000000000000000000000000001 -0 73 0001000000000010100010111100111111000000000000000000000000000001 -0 72 0001000000000010100010111100111111000000000000000000000000000001 -0 31 0001000000000010100010111100111111000000000000000000000000000001 -0 30 0001000000000010100010111100111111000000000000000000000000000001 -0 29 0001000000000010100010111100111111000000000000000000000000000001 -0 28 0001000000000010100010111100111111000000000000000000000000000001 -0 27 0001000000000010100010111100111111000000000000000000000000000001 -0 26 0001000000000010100010111100111111000000000000000000000000000001 -0 25 0001000000000010100010111100111111000000000000000000000000000001 -0 24 0001000000000010100010111100111111000000000000000000000000000001 -0 15 0001000000000010100010111100111111000000000000000000000000000001 -0 14 0001000000000010100010111100111111000000000000000000000000000001 -0 13 0001000000000010100010111100111111000000000000000000000000000001 -0 12 0001000000000010100010111100111111000000000000000000000000000001 -0 11 0001000000000010100010111100111111000000000000000000000000000001 -0 10 0001000000000010100010111100111111000000000000000000000000000001 -0 9 0001000000000010100010111100111111000000000000000000000000000001 -0 8 0001000000000010100010111100111111000000000000000000000000000001 +0 431 0001000000000010000011000100010001000000000000000000000000000001 +0 430 0001000000000010000011000100010001000000000000000000000000000001 +0 303 0001000000000010000011000100010001000000000000000000000000000001 +0 302 0001000000000010000011000100010001000000000000000000000000000001 +0 175 0001000000000010000011000100010001000000000000000000000000000001 +0 174 0001000000000010000011000100010001000000000000000000000000000001 +0 47 0001000000000010000011000100010001000000000000000000000000000001 +0 46 0001000000000010000011000100010001000000000000000000000000000001 +0 873 0001000000000011001011101010001001000000000000000000000000000001 +0 872 0001000000000011001011101010001001000000000000000000000000000001 +0 841 0001000000000011001011101010001001000000000000000000000000000001 +0 840 0001000000000011001011101010001001000000000000000000000000000001 +0 809 0001000000000011001011101010001001000000000000000000000000000001 +0 808 0001000000000011001011101010001001000000000000000000000000000001 +0 777 0001000000000011001011101010001001000000000000000000000000000001 +0 776 0001000000000011001011101010001001000000000000000000000000000001 +0 361 0001000000000011001011101010001001000000000000000000000000000001 +0 360 0001000000000011001011101010001001000000000000000000000000000001 +0 329 0001000000000011001011101010001001000000000000000000000000000001 +0 328 0001000000000011001011101010001001000000000000000000000000000001 +0 297 0001000000000011001011101010001001000000000000000000000000000001 +0 296 0001000000000011001011101010001001000000000000000000000000000001 +0 265 0001000000000011001011101010001001000000000000000000000000000001 +0 264 0001000000000011001011101010001001000000000000000000000000000001 +0 1023 0001000000000001100110101111111111000000000000000000000000000001 +0 1022 0001000000000001100110101111111111000000000000000000000000000001 +0 1021 0001000000000001100110101111111111000000000000000000000000000001 +0 1020 0001000000000001100110101111111111000000000000000000000000000001 +0 1019 0001000000000001100110101111111111000000000000000000000000000001 +0 1018 0001000000000001100110101111111111000000000000000000000000000001 +0 1017 0001000000000001100110101111111111000000000000000000000000000001 +0 1016 0001000000000001100110101111111111000000000000000000000000000001 +0 1015 0001000000000001100110101111111111000000000000000000000000000001 +0 1014 0001000000000001100110101111111111000000000000000000000000000001 +0 1013 0001000000000001100110101111111111000000000000000000000000000001 +0 1012 0001000000000001100110101111111111000000000000000000000000000001 +0 1011 0001000000000001100110101111111111000000000000000000000000000001 +0 1010 0001000000000001100110101111111111000000000000000000000000000001 +0 1009 0001000000000001100110101111111111000000000000000000000000000001 +0 1008 0001000000000001100110101111111111000000000000000000000000000001 +0 1007 0001000000000001100110101111111111000000000000000000000000000001 +0 1006 0001000000000001100110101111111111000000000000000000000000000001 +0 1005 0001000000000001100110101111111111000000000000000000000000000001 +0 1004 0001000000000001100110101111111111000000000000000000000000000001 +0 1003 0001000000000001100110101111111111000000000000000000000000000001 +0 1002 0001000000000001100110101111111111000000000000000000000000000001 +0 1001 0001000000000001100110101111111111000000000000000000000000000001 +0 1000 0001000000000001100110101111111111000000000000000000000000000001 +0 999 0001000000000001100110101111111111000000000000000000000000000001 +0 998 0001000000000001100110101111111111000000000000000000000000000001 +0 997 0001000000000001100110101111111111000000000000000000000000000001 +0 996 0001000000000001100110101111111111000000000000000000000000000001 +0 995 0001000000000001100110101111111111000000000000000000000000000001 +0 994 0001000000000001100110101111111111000000000000000000000000000001 +0 993 0001000000000001100110101111111111000000000000000000000000000001 +0 992 0001000000000001100110101111111111000000000000000000000000000001 +0 991 0001000000000001100110101111111111000000000000000000000000000001 +0 990 0001000000000001100110101111111111000000000000000000000000000001 +0 989 0001000000000001100110101111111111000000000000000000000000000001 +0 988 0001000000000001100110101111111111000000000000000000000000000001 +0 987 0001000000000001100110101111111111000000000000000000000000000001 +0 986 0001000000000001100110101111111111000000000000000000000000000001 +0 985 0001000000000001100110101111111111000000000000000000000000000001 +0 984 0001000000000001100110101111111111000000000000000000000000000001 +0 983 0001000000000001100110101111111111000000000000000000000000000001 +0 982 0001000000000001100110101111111111000000000000000000000000000001 +0 981 0001000000000001100110101111111111000000000000000000000000000001 +0 980 0001000000000001100110101111111111000000000000000000000000000001 +0 979 0001000000000001100110101111111111000000000000000000000000000001 +0 978 0001000000000001100110101111111111000000000000000000000000000001 +0 977 0001000000000001100110101111111111000000000000000000000000000001 +0 976 0001000000000001100110101111111111000000000000000000000000000001 +0 975 0001000000000001100110101111111111000000000000000000000000000001 +0 974 0001000000000001100110101111111111000000000000000000000000000001 +0 973 0001000000000001100110101111111111000000000000000000000000000001 +0 972 0001000000000001100110101111111111000000000000000000000000000001 +0 971 0001000000000001100110101111111111000000000000000000000000000001 +0 970 0001000000000001100110101111111111000000000000000000000000000001 +0 969 0001000000000001100110101111111111000000000000000000000000000001 +0 968 0001000000000001100110101111111111000000000000000000000000000001 +0 967 0001000000000001100110101111111111000000000000000000000000000001 +0 966 0001000000000001100110101111111111000000000000000000000000000001 +0 965 0001000000000001100110101111111111000000000000000000000000000001 +0 964 0001000000000001100110101111111111000000000000000000000000000001 +0 963 0001000000000001100110101111111111000000000000000000000000000001 +0 962 0001000000000001100110101111111111000000000000000000000000000001 +0 961 0001000000000001100110101111111111000000000000000000000000000001 +0 960 0001000000000001100110101111111111000000000000000000000000000001 +0 959 0001000000000001100110101111111111000000000000000000000000000001 +0 958 0001000000000001100110101111111111000000000000000000000000000001 +0 957 0001000000000001100110101111111111000000000000000000000000000001 +0 956 0001000000000001100110101111111111000000000000000000000000000001 +0 955 0001000000000001100110101111111111000000000000000000000000000001 +0 954 0001000000000001100110101111111111000000000000000000000000000001 +0 953 0001000000000001100110101111111111000000000000000000000000000001 +0 952 0001000000000001100110101111111111000000000000000000000000000001 +0 951 0001000000000001100110101111111111000000000000000000000000000001 +0 950 0001000000000001100110101111111111000000000000000000000000000001 +0 949 0001000000000001100110101111111111000000000000000000000000000001 +0 948 0001000000000001100110101111111111000000000000000000000000000001 +0 947 0001000000000001100110101111111111000000000000000000000000000001 +0 946 0001000000000001100110101111111111000000000000000000000000000001 +0 945 0001000000000001100110101111111111000000000000000000000000000001 +0 944 0001000000000001100110101111111111000000000000000000000000000001 +0 943 0001000000000001100110101111111111000000000000000000000000000001 +0 942 0001000000000001100110101111111111000000000000000000000000000001 +0 941 0001000000000001100110101111111111000000000000000000000000000001 +0 940 0001000000000001100110101111111111000000000000000000000000000001 +0 939 0001000000000001100110101111111111000000000000000000000000000001 +0 938 0001000000000001100110101111111111000000000000000000000000000001 +0 937 0001000000000001100110101111111111000000000000000000000000000001 +0 936 0001000000000001100110101111111111000000000000000000000000000001 +0 935 0001000000000001100110101111111111000000000000000000000000000001 +0 934 0001000000000001100110101111111111000000000000000000000000000001 +0 933 0001000000000001100110101111111111000000000000000000000000000001 +0 932 0001000000000001100110101111111111000000000000000000000000000001 +0 931 0001000000000001100110101111111111000000000000000000000000000001 +0 930 0001000000000001100110101111111111000000000000000000000000000001 +0 929 0001000000000001100110101111111111000000000000000000000000000001 +0 928 0001000000000001100110101111111111000000000000000000000000000001 +0 927 0001000000000001100110101111111111000000000000000000000000000001 +0 926 0001000000000001100110101111111111000000000000000000000000000001 +0 925 0001000000000001100110101111111111000000000000000000000000000001 +0 924 0001000000000001100110101111111111000000000000000000000000000001 +0 923 0001000000000001100110101111111111000000000000000000000000000001 +0 922 0001000000000001100110101111111111000000000000000000000000000001 +0 921 0001000000000001100110101111111111000000000000000000000000000001 +0 920 0001000000000001100110101111111111000000000000000000000000000001 +0 919 0001000000000001100110101111111111000000000000000000000000000001 +0 918 0001000000000001100110101111111111000000000000000000000000000001 +0 917 0001000000000001100110101111111111000000000000000000000000000001 +0 916 0001000000000001100110101111111111000000000000000000000000000001 +0 915 0001000000000001100110101111111111000000000000000000000000000001 +0 914 0001000000000001100110101111111111000000000000000000000000000001 +0 913 0001000000000001100110101111111111000000000000000000000000000001 +0 912 0001000000000001100110101111111111000000000000000000000000000001 +0 911 0001000000000001100110101111111111000000000000000000000000000001 +0 910 0001000000000001100110101111111111000000000000000000000000000001 +0 909 0001000000000001100110101111111111000000000000000000000000000001 +0 908 0001000000000001100110101111111111000000000000000000000000000001 +0 907 0001000000000001100110101111111111000000000000000000000000000001 +0 906 0001000000000001100110101111111111000000000000000000000000000001 +0 905 0001000000000001100110101111111111000000000000000000000000000001 +0 904 0001000000000001100110101111111111000000000000000000000000000001 +0 903 0001000000000001100110101111111111000000000000000000000000000001 +0 902 0001000000000001100110101111111111000000000000000000000000000001 +0 901 0001000000000001100110101111111111000000000000000000000000000001 +0 900 0001000000000001100110101111111111000000000000000000000000000001 +0 899 0001000000000001100110101111111111000000000000000000000000000001 +0 898 0001000000000001100110101111111111000000000000000000000000000001 +0 897 0001000000000001100110101111111111000000000000000000000000000001 +0 896 0001000000000001100110101111111111000000000000000000000000000001 +0 895 0001000000000001100110101111111111000000000000000000000000000001 +0 894 0001000000000001100110101111111111000000000000000000000000000001 +0 893 0001000000000001100110101111111111000000000000000000000000000001 +0 892 0001000000000001100110101111111111000000000000000000000000000001 +0 891 0001000000000001100110101111111111000000000000000000000000000001 +0 890 0001000000000001100110101111111111000000000000000000000000000001 +0 889 0001000000000001100110101111111111000000000000000000000000000001 +0 888 0001000000000001100110101111111111000000000000000000000000000001 +0 887 0001000000000001100110101111111111000000000000000000000000000001 +0 886 0001000000000001100110101111111111000000000000000000000000000001 +0 885 0001000000000001100110101111111111000000000000000000000000000001 +0 884 0001000000000001100110101111111111000000000000000000000000000001 +0 883 0001000000000001100110101111111111000000000000000000000000000001 +0 882 0001000000000001100110101111111111000000000000000000000000000001 +0 881 0001000000000001100110101111111111000000000000000000000000000001 +0 880 0001000000000001100110101111111111000000000000000000000000000001 +0 879 0001000000000001100110101111111111000000000000000000000000000001 +0 878 0001000000000001100110101111111111000000000000000000000000000001 +0 877 0001000000000001100110101111111111000000000000000000000000000001 +0 876 0001000000000001100110101111111111000000000000000000000000000001 +0 875 0001000000000001100110101111111111000000000000000000000000000001 +0 874 0001000000000001100110101111111111000000000000000000000000000001 +0 873 0001000000000001100110101111111111000000000000000000000000000001 +0 872 0001000000000001100110101111111111000000000000000000000000000001 +0 871 0001000000000001100110101111111111000000000000000000000000000001 +0 870 0001000000000001100110101111111111000000000000000000000000000001 +0 869 0001000000000001100110101111111111000000000000000000000000000001 +0 868 0001000000000001100110101111111111000000000000000000000000000001 +0 867 0001000000000001100110101111111111000000000000000000000000000001 +0 866 0001000000000001100110101111111111000000000000000000000000000001 +0 865 0001000000000001100110101111111111000000000000000000000000000001 +0 864 0001000000000001100110101111111111000000000000000000000000000001 +0 863 0001000000000001100110101111111111000000000000000000000000000001 +0 862 0001000000000001100110101111111111000000000000000000000000000001 +0 861 0001000000000001100110101111111111000000000000000000000000000001 +0 860 0001000000000001100110101111111111000000000000000000000000000001 +0 859 0001000000000001100110101111111111000000000000000000000000000001 +0 858 0001000000000001100110101111111111000000000000000000000000000001 +0 857 0001000000000001100110101111111111000000000000000000000000000001 +0 856 0001000000000001100110101111111111000000000000000000000000000001 +0 855 0001000000000001100110101111111111000000000000000000000000000001 +0 854 0001000000000001100110101111111111000000000000000000000000000001 +0 853 0001000000000001100110101111111111000000000000000000000000000001 +0 852 0001000000000001100110101111111111000000000000000000000000000001 +0 851 0001000000000001100110101111111111000000000000000000000000000001 +0 850 0001000000000001100110101111111111000000000000000000000000000001 +0 849 0001000000000001100110101111111111000000000000000000000000000001 +0 848 0001000000000001100110101111111111000000000000000000000000000001 +0 847 0001000000000001100110101111111111000000000000000000000000000001 +0 846 0001000000000001100110101111111111000000000000000000000000000001 +0 845 0001000000000001100110101111111111000000000000000000000000000001 +0 844 0001000000000001100110101111111111000000000000000000000000000001 +0 843 0001000000000001100110101111111111000000000000000000000000000001 +0 842 0001000000000001100110101111111111000000000000000000000000000001 +0 841 0001000000000001100110101111111111000000000000000000000000000001 +0 840 0001000000000001100110101111111111000000000000000000000000000001 +0 839 0001000000000001100110101111111111000000000000000000000000000001 +0 838 0001000000000001100110101111111111000000000000000000000000000001 +0 837 0001000000000001100110101111111111000000000000000000000000000001 +0 836 0001000000000001100110101111111111000000000000000000000000000001 +0 835 0001000000000001100110101111111111000000000000000000000000000001 +0 834 0001000000000001100110101111111111000000000000000000000000000001 +0 833 0001000000000001100110101111111111000000000000000000000000000001 +0 832 0001000000000001100110101111111111000000000000000000000000000001 +0 831 0001000000000001100110101111111111000000000000000000000000000001 +0 830 0001000000000001100110101111111111000000000000000000000000000001 +0 829 0001000000000001100110101111111111000000000000000000000000000001 +0 828 0001000000000001100110101111111111000000000000000000000000000001 +0 827 0001000000000001100110101111111111000000000000000000000000000001 +0 826 0001000000000001100110101111111111000000000000000000000000000001 +0 825 0001000000000001100110101111111111000000000000000000000000000001 +0 824 0001000000000001100110101111111111000000000000000000000000000001 +0 823 0001000000000001100110101111111111000000000000000000000000000001 +0 822 0001000000000001100110101111111111000000000000000000000000000001 +0 821 0001000000000001100110101111111111000000000000000000000000000001 +0 820 0001000000000001100110101111111111000000000000000000000000000001 +0 819 0001000000000001100110101111111111000000000000000000000000000001 +0 818 0001000000000001100110101111111111000000000000000000000000000001 +0 817 0001000000000001100110101111111111000000000000000000000000000001 +0 816 0001000000000001100110101111111111000000000000000000000000000001 +0 815 0001000000000001100110101111111111000000000000000000000000000001 +0 814 0001000000000001100110101111111111000000000000000000000000000001 +0 813 0001000000000001100110101111111111000000000000000000000000000001 +0 812 0001000000000001100110101111111111000000000000000000000000000001 +0 811 0001000000000001100110101111111111000000000000000000000000000001 +0 810 0001000000000001100110101111111111000000000000000000000000000001 +0 809 0001000000000001100110101111111111000000000000000000000000000001 +0 808 0001000000000001100110101111111111000000000000000000000000000001 +0 807 0001000000000001100110101111111111000000000000000000000000000001 +0 806 0001000000000001100110101111111111000000000000000000000000000001 +0 805 0001000000000001100110101111111111000000000000000000000000000001 +0 804 0001000000000001100110101111111111000000000000000000000000000001 +0 803 0001000000000001100110101111111111000000000000000000000000000001 +0 802 0001000000000001100110101111111111000000000000000000000000000001 +0 801 0001000000000001100110101111111111000000000000000000000000000001 +0 800 0001000000000001100110101111111111000000000000000000000000000001 +0 799 0001000000000001100110101111111111000000000000000000000000000001 +0 798 0001000000000001100110101111111111000000000000000000000000000001 +0 797 0001000000000001100110101111111111000000000000000000000000000001 +0 796 0001000000000001100110101111111111000000000000000000000000000001 +0 795 0001000000000001100110101111111111000000000000000000000000000001 +0 794 0001000000000001100110101111111111000000000000000000000000000001 +0 793 0001000000000001100110101111111111000000000000000000000000000001 +0 792 0001000000000001100110101111111111000000000000000000000000000001 +0 791 0001000000000001100110101111111111000000000000000000000000000001 +0 790 0001000000000001100110101111111111000000000000000000000000000001 +0 789 0001000000000001100110101111111111000000000000000000000000000001 +0 788 0001000000000001100110101111111111000000000000000000000000000001 +0 787 0001000000000001100110101111111111000000000000000000000000000001 +0 786 0001000000000001100110101111111111000000000000000000000000000001 +0 785 0001000000000001100110101111111111000000000000000000000000000001 +0 784 0001000000000001100110101111111111000000000000000000000000000001 +0 783 0001000000000001100110101111111111000000000000000000000000000001 +0 782 0001000000000001100110101111111111000000000000000000000000000001 +0 781 0001000000000001100110101111111111000000000000000000000000000001 +0 780 0001000000000001100110101111111111000000000000000000000000000001 +0 779 0001000000000001100110101111111111000000000000000000000000000001 +0 778 0001000000000001100110101111111111000000000000000000000000000001 +0 777 0001000000000001100110101111111111000000000000000000000000000001 +0 776 0001000000000001100110101111111111000000000000000000000000000001 +0 775 0001000000000001100110101111111111000000000000000000000000000001 +0 774 0001000000000001100110101111111111000000000000000000000000000001 +0 773 0001000000000001100110101111111111000000000000000000000000000001 +0 772 0001000000000001100110101111111111000000000000000000000000000001 +0 771 0001000000000001100110101111111111000000000000000000000000000001 +0 770 0001000000000001100110101111111111000000000000000000000000000001 +0 769 0001000000000001100110101111111111000000000000000000000000000001 +0 768 0001000000000001100110101111111111000000000000000000000000000001 +0 767 0001000000000001100110101111111111000000000000000000000000000001 +0 766 0001000000000001100110101111111111000000000000000000000000000001 +0 765 0001000000000001100110101111111111000000000000000000000000000001 +0 764 0001000000000001100110101111111111000000000000000000000000000001 +0 763 0001000000000001100110101111111111000000000000000000000000000001 +0 762 0001000000000001100110101111111111000000000000000000000000000001 +0 761 0001000000000001100110101111111111000000000000000000000000000001 +0 760 0001000000000001100110101111111111000000000000000000000000000001 +0 759 0001000000000001100110101111111111000000000000000000000000000001 +0 758 0001000000000001100110101111111111000000000000000000000000000001 +0 757 0001000000000001100110101111111111000000000000000000000000000001 +0 756 0001000000000001100110101111111111000000000000000000000000000001 +0 755 0001000000000001100110101111111111000000000000000000000000000001 +0 754 0001000000000001100110101111111111000000000000000000000000000001 +0 753 0001000000000001100110101111111111000000000000000000000000000001 +0 752 0001000000000001100110101111111111000000000000000000000000000001 +0 751 0001000000000001100110101111111111000000000000000000000000000001 +0 750 0001000000000001100110101111111111000000000000000000000000000001 +0 749 0001000000000001100110101111111111000000000000000000000000000001 +0 748 0001000000000001100110101111111111000000000000000000000000000001 +0 747 0001000000000001100110101111111111000000000000000000000000000001 +0 746 0001000000000001100110101111111111000000000000000000000000000001 +0 745 0001000000000001100110101111111111000000000000000000000000000001 +0 744 0001000000000001100110101111111111000000000000000000000000000001 +0 743 0001000000000001100110101111111111000000000000000000000000000001 +0 742 0001000000000001100110101111111111000000000000000000000000000001 +0 741 0001000000000001100110101111111111000000000000000000000000000001 +0 740 0001000000000001100110101111111111000000000000000000000000000001 +0 739 0001000000000001100110101111111111000000000000000000000000000001 +0 738 0001000000000001100110101111111111000000000000000000000000000001 +0 737 0001000000000001100110101111111111000000000000000000000000000001 +0 736 0001000000000001100110101111111111000000000000000000000000000001 +0 735 0001000000000001100110101111111111000000000000000000000000000001 +0 734 0001000000000001100110101111111111000000000000000000000000000001 +0 733 0001000000000001100110101111111111000000000000000000000000000001 +0 732 0001000000000001100110101111111111000000000000000000000000000001 +0 731 0001000000000001100110101111111111000000000000000000000000000001 +0 730 0001000000000001100110101111111111000000000000000000000000000001 +0 729 0001000000000001100110101111111111000000000000000000000000000001 +0 728 0001000000000001100110101111111111000000000000000000000000000001 +0 727 0001000000000001100110101111111111000000000000000000000000000001 +0 726 0001000000000001100110101111111111000000000000000000000000000001 +0 725 0001000000000001100110101111111111000000000000000000000000000001 +0 724 0001000000000001100110101111111111000000000000000000000000000001 +0 723 0001000000000001100110101111111111000000000000000000000000000001 +0 722 0001000000000001100110101111111111000000000000000000000000000001 +0 721 0001000000000001100110101111111111000000000000000000000000000001 +0 720 0001000000000001100110101111111111000000000000000000000000000001 +0 719 0001000000000001100110101111111111000000000000000000000000000001 +0 718 0001000000000001100110101111111111000000000000000000000000000001 +0 717 0001000000000001100110101111111111000000000000000000000000000001 +0 716 0001000000000001100110101111111111000000000000000000000000000001 +0 715 0001000000000001100110101111111111000000000000000000000000000001 +0 714 0001000000000001100110101111111111000000000000000000000000000001 +0 713 0001000000000001100110101111111111000000000000000000000000000001 +0 712 0001000000000001100110101111111111000000000000000000000000000001 +0 711 0001000000000001100110101111111111000000000000000000000000000001 +0 710 0001000000000001100110101111111111000000000000000000000000000001 +0 709 0001000000000001100110101111111111000000000000000000000000000001 +0 708 0001000000000001100110101111111111000000000000000000000000000001 +0 707 0001000000000001100110101111111111000000000000000000000000000001 +0 706 0001000000000001100110101111111111000000000000000000000000000001 +0 705 0001000000000001100110101111111111000000000000000000000000000001 +0 704 0001000000000001100110101111111111000000000000000000000000000001 +0 703 0001000000000001100110101111111111000000000000000000000000000001 +0 702 0001000000000001100110101111111111000000000000000000000000000001 +0 701 0001000000000001100110101111111111000000000000000000000000000001 +0 700 0001000000000001100110101111111111000000000000000000000000000001 +0 699 0001000000000001100110101111111111000000000000000000000000000001 +0 698 0001000000000001100110101111111111000000000000000000000000000001 +0 697 0001000000000001100110101111111111000000000000000000000000000001 +0 696 0001000000000001100110101111111111000000000000000000000000000001 +0 695 0001000000000001100110101111111111000000000000000000000000000001 +0 694 0001000000000001100110101111111111000000000000000000000000000001 +0 693 0001000000000001100110101111111111000000000000000000000000000001 +0 692 0001000000000001100110101111111111000000000000000000000000000001 +0 691 0001000000000001100110101111111111000000000000000000000000000001 +0 690 0001000000000001100110101111111111000000000000000000000000000001 +0 689 0001000000000001100110101111111111000000000000000000000000000001 +0 688 0001000000000001100110101111111111000000000000000000000000000001 +0 687 0001000000000001100110101111111111000000000000000000000000000001 +0 686 0001000000000001100110101111111111000000000000000000000000000001 +0 685 0001000000000001100110101111111111000000000000000000000000000001 +0 684 0001000000000001100110101111111111000000000000000000000000000001 +0 683 0001000000000001100110101111111111000000000000000000000000000001 +0 682 0001000000000001100110101111111111000000000000000000000000000001 +0 681 0001000000000001100110101111111111000000000000000000000000000001 +0 680 0001000000000001100110101111111111000000000000000000000000000001 +0 679 0001000000000001100110101111111111000000000000000000000000000001 +0 678 0001000000000001100110101111111111000000000000000000000000000001 +0 677 0001000000000001100110101111111111000000000000000000000000000001 +0 676 0001000000000001100110101111111111000000000000000000000000000001 +0 675 0001000000000001100110101111111111000000000000000000000000000001 +0 674 0001000000000001100110101111111111000000000000000000000000000001 +0 673 0001000000000001100110101111111111000000000000000000000000000001 +0 672 0001000000000001100110101111111111000000000000000000000000000001 +0 671 0001000000000001100110101111111111000000000000000000000000000001 +0 670 0001000000000001100110101111111111000000000000000000000000000001 +0 669 0001000000000001100110101111111111000000000000000000000000000001 +0 668 0001000000000001100110101111111111000000000000000000000000000001 +0 667 0001000000000001100110101111111111000000000000000000000000000001 +0 666 0001000000000001100110101111111111000000000000000000000000000001 +0 665 0001000000000001100110101111111111000000000000000000000000000001 +0 664 0001000000000001100110101111111111000000000000000000000000000001 +0 663 0001000000000001100110101111111111000000000000000000000000000001 +0 662 0001000000000001100110101111111111000000000000000000000000000001 +0 661 0001000000000001100110101111111111000000000000000000000000000001 +0 660 0001000000000001100110101111111111000000000000000000000000000001 +0 659 0001000000000001100110101111111111000000000000000000000000000001 +0 658 0001000000000001100110101111111111000000000000000000000000000001 +0 657 0001000000000001100110101111111111000000000000000000000000000001 +0 656 0001000000000001100110101111111111000000000000000000000000000001 +0 655 0001000000000001100110101111111111000000000000000000000000000001 +0 654 0001000000000001100110101111111111000000000000000000000000000001 +0 653 0001000000000001100110101111111111000000000000000000000000000001 +0 652 0001000000000001100110101111111111000000000000000000000000000001 +0 651 0001000000000001100110101111111111000000000000000000000000000001 +0 650 0001000000000001100110101111111111000000000000000000000000000001 +0 649 0001000000000001100110101111111111000000000000000000000000000001 +0 648 0001000000000001100110101111111111000000000000000000000000000001 +0 647 0001000000000001100110101111111111000000000000000000000000000001 +0 646 0001000000000001100110101111111111000000000000000000000000000001 +0 645 0001000000000001100110101111111111000000000000000000000000000001 +0 644 0001000000000001100110101111111111000000000000000000000000000001 +0 643 0001000000000001100110101111111111000000000000000000000000000001 +0 642 0001000000000001100110101111111111000000000000000000000000000001 +0 641 0001000000000001100110101111111111000000000000000000000000000001 +0 640 0001000000000001100110101111111111000000000000000000000000000001 +0 639 0001000000000001100110101111111111000000000000000000000000000001 +0 638 0001000000000001100110101111111111000000000000000000000000000001 +0 637 0001000000000001100110101111111111000000000000000000000000000001 +0 636 0001000000000001100110101111111111000000000000000000000000000001 +0 635 0001000000000001100110101111111111000000000000000000000000000001 +0 634 0001000000000001100110101111111111000000000000000000000000000001 +0 633 0001000000000001100110101111111111000000000000000000000000000001 +0 632 0001000000000001100110101111111111000000000000000000000000000001 +0 631 0001000000000001100110101111111111000000000000000000000000000001 +0 630 0001000000000001100110101111111111000000000000000000000000000001 +0 629 0001000000000001100110101111111111000000000000000000000000000001 +0 628 0001000000000001100110101111111111000000000000000000000000000001 +0 627 0001000000000001100110101111111111000000000000000000000000000001 +0 626 0001000000000001100110101111111111000000000000000000000000000001 +0 625 0001000000000001100110101111111111000000000000000000000000000001 +0 624 0001000000000001100110101111111111000000000000000000000000000001 +0 623 0001000000000001100110101111111111000000000000000000000000000001 +0 622 0001000000000001100110101111111111000000000000000000000000000001 +0 621 0001000000000001100110101111111111000000000000000000000000000001 +0 620 0001000000000001100110101111111111000000000000000000000000000001 +0 619 0001000000000001100110101111111111000000000000000000000000000001 +0 618 0001000000000001100110101111111111000000000000000000000000000001 +0 617 0001000000000001100110101111111111000000000000000000000000000001 +0 616 0001000000000001100110101111111111000000000000000000000000000001 +0 615 0001000000000001100110101111111111000000000000000000000000000001 +0 614 0001000000000001100110101111111111000000000000000000000000000001 +0 613 0001000000000001100110101111111111000000000000000000000000000001 +0 612 0001000000000001100110101111111111000000000000000000000000000001 +0 611 0001000000000001100110101111111111000000000000000000000000000001 +0 610 0001000000000001100110101111111111000000000000000000000000000001 +0 609 0001000000000001100110101111111111000000000000000000000000000001 +0 608 0001000000000001100110101111111111000000000000000000000000000001 +0 607 0001000000000001100110101111111111000000000000000000000000000001 +0 606 0001000000000001100110101111111111000000000000000000000000000001 +0 605 0001000000000001100110101111111111000000000000000000000000000001 +0 604 0001000000000001100110101111111111000000000000000000000000000001 +0 603 0001000000000001100110101111111111000000000000000000000000000001 +0 602 0001000000000001100110101111111111000000000000000000000000000001 +0 601 0001000000000001100110101111111111000000000000000000000000000001 +0 600 0001000000000001100110101111111111000000000000000000000000000001 +0 599 0001000000000001100110101111111111000000000000000000000000000001 +0 598 0001000000000001100110101111111111000000000000000000000000000001 +0 597 0001000000000001100110101111111111000000000000000000000000000001 +0 596 0001000000000001100110101111111111000000000000000000000000000001 +0 595 0001000000000001100110101111111111000000000000000000000000000001 +0 594 0001000000000001100110101111111111000000000000000000000000000001 +0 593 0001000000000001100110101111111111000000000000000000000000000001 +0 592 0001000000000001100110101111111111000000000000000000000000000001 +0 591 0001000000000001100110101111111111000000000000000000000000000001 +0 590 0001000000000001100110101111111111000000000000000000000000000001 +0 589 0001000000000001100110101111111111000000000000000000000000000001 +0 588 0001000000000001100110101111111111000000000000000000000000000001 +0 587 0001000000000001100110101111111111000000000000000000000000000001 +0 586 0001000000000001100110101111111111000000000000000000000000000001 +0 585 0001000000000001100110101111111111000000000000000000000000000001 +0 584 0001000000000001100110101111111111000000000000000000000000000001 +0 583 0001000000000001100110101111111111000000000000000000000000000001 +0 582 0001000000000001100110101111111111000000000000000000000000000001 +0 581 0001000000000001100110101111111111000000000000000000000000000001 +0 580 0001000000000001100110101111111111000000000000000000000000000001 +0 579 0001000000000001100110101111111111000000000000000000000000000001 +0 578 0001000000000001100110101111111111000000000000000000000000000001 +0 577 0001000000000001100110101111111111000000000000000000000000000001 +0 576 0001000000000001100110101111111111000000000000000000000000000001 +0 575 0001000000000001100110101111111111000000000000000000000000000001 +0 574 0001000000000001100110101111111111000000000000000000000000000001 +0 573 0001000000000001100110101111111111000000000000000000000000000001 +0 572 0001000000000001100110101111111111000000000000000000000000000001 +0 571 0001000000000001100110101111111111000000000000000000000000000001 +0 570 0001000000000001100110101111111111000000000000000000000000000001 +0 569 0001000000000001100110101111111111000000000000000000000000000001 +0 568 0001000000000001100110101111111111000000000000000000000000000001 +0 567 0001000000000001100110101111111111000000000000000000000000000001 +0 566 0001000000000001100110101111111111000000000000000000000000000001 +0 565 0001000000000001100110101111111111000000000000000000000000000001 +0 564 0001000000000001100110101111111111000000000000000000000000000001 +0 563 0001000000000001100110101111111111000000000000000000000000000001 +0 562 0001000000000001100110101111111111000000000000000000000000000001 +0 561 0001000000000001100110101111111111000000000000000000000000000001 +0 560 0001000000000001100110101111111111000000000000000000000000000001 +0 559 0001000000000001100110101111111111000000000000000000000000000001 +0 558 0001000000000001100110101111111111000000000000000000000000000001 +0 557 0001000000000001100110101111111111000000000000000000000000000001 +0 556 0001000000000001100110101111111111000000000000000000000000000001 +0 555 0001000000000001100110101111111111000000000000000000000000000001 +0 554 0001000000000001100110101111111111000000000000000000000000000001 +0 553 0001000000000001100110101111111111000000000000000000000000000001 +0 552 0001000000000001100110101111111111000000000000000000000000000001 +0 551 0001000000000001100110101111111111000000000000000000000000000001 +0 550 0001000000000001100110101111111111000000000000000000000000000001 +0 549 0001000000000001100110101111111111000000000000000000000000000001 +0 548 0001000000000001100110101111111111000000000000000000000000000001 +0 547 0001000000000001100110101111111111000000000000000000000000000001 +0 546 0001000000000001100110101111111111000000000000000000000000000001 +0 545 0001000000000001100110101111111111000000000000000000000000000001 +0 544 0001000000000001100110101111111111000000000000000000000000000001 +0 543 0001000000000001100110101111111111000000000000000000000000000001 +0 542 0001000000000001100110101111111111000000000000000000000000000001 +0 541 0001000000000001100110101111111111000000000000000000000000000001 +0 540 0001000000000001100110101111111111000000000000000000000000000001 +0 539 0001000000000001100110101111111111000000000000000000000000000001 +0 538 0001000000000001100110101111111111000000000000000000000000000001 +0 537 0001000000000001100110101111111111000000000000000000000000000001 +0 536 0001000000000001100110101111111111000000000000000000000000000001 +0 535 0001000000000001100110101111111111000000000000000000000000000001 +0 534 0001000000000001100110101111111111000000000000000000000000000001 +0 533 0001000000000001100110101111111111000000000000000000000000000001 +0 532 0001000000000001100110101111111111000000000000000000000000000001 +0 531 0001000000000001100110101111111111000000000000000000000000000001 +0 530 0001000000000001100110101111111111000000000000000000000000000001 +0 529 0001000000000001100110101111111111000000000000000000000000000001 +0 528 0001000000000001100110101111111111000000000000000000000000000001 +0 527 0001000000000001100110101111111111000000000000000000000000000001 +0 526 0001000000000001100110101111111111000000000000000000000000000001 +0 525 0001000000000001100110101111111111000000000000000000000000000001 +0 524 0001000000000001100110101111111111000000000000000000000000000001 +0 523 0001000000000001100110101111111111000000000000000000000000000001 +0 522 0001000000000001100110101111111111000000000000000000000000000001 +0 521 0001000000000001100110101111111111000000000000000000000000000001 +0 520 0001000000000001100110101111111111000000000000000000000000000001 +0 519 0001000000000001100110101111111111000000000000000000000000000001 +0 518 0001000000000001100110101111111111000000000000000000000000000001 +0 517 0001000000000001100110101111111111000000000000000000000000000001 +0 516 0001000000000001100110101111111111000000000000000000000000000001 +0 515 0001000000000001100110101111111111000000000000000000000000000001 +0 514 0001000000000001100110101111111111000000000000000000000000000001 +0 513 0001000000000001100110101111111111000000000000000000000000000001 +0 512 0001000000000001100110101111111111000000000000000000000000000001 +0 511 0001000000000001100110101111111111000000000000000000000000000001 +0 510 0001000000000001100110101111111111000000000000000000000000000001 +0 509 0001000000000001100110101111111111000000000000000000000000000001 +0 508 0001000000000001100110101111111111000000000000000000000000000001 +0 507 0001000000000001100110101111111111000000000000000000000000000001 +0 506 0001000000000001100110101111111111000000000000000000000000000001 +0 505 0001000000000001100110101111111111000000000000000000000000000001 +0 504 0001000000000001100110101111111111000000000000000000000000000001 +0 503 0001000000000001100110101111111111000000000000000000000000000001 +0 502 0001000000000001100110101111111111000000000000000000000000000001 +0 501 0001000000000001100110101111111111000000000000000000000000000001 +0 500 0001000000000001100110101111111111000000000000000000000000000001 +0 499 0001000000000001100110101111111111000000000000000000000000000001 +0 498 0001000000000001100110101111111111000000000000000000000000000001 +0 497 0001000000000001100110101111111111000000000000000000000000000001 +0 496 0001000000000001100110101111111111000000000000000000000000000001 +0 495 0001000000000001100110101111111111000000000000000000000000000001 +0 494 0001000000000001100110101111111111000000000000000000000000000001 +0 493 0001000000000001100110101111111111000000000000000000000000000001 +0 492 0001000000000001100110101111111111000000000000000000000000000001 +0 491 0001000000000001100110101111111111000000000000000000000000000001 +0 490 0001000000000001100110101111111111000000000000000000000000000001 +0 489 0001000000000001100110101111111111000000000000000000000000000001 +0 488 0001000000000001100110101111111111000000000000000000000000000001 +0 487 0001000000000001100110101111111111000000000000000000000000000001 +0 486 0001000000000001100110101111111111000000000000000000000000000001 +0 485 0001000000000001100110101111111111000000000000000000000000000001 +0 484 0001000000000001100110101111111111000000000000000000000000000001 +0 483 0001000000000001100110101111111111000000000000000000000000000001 +0 482 0001000000000001100110101111111111000000000000000000000000000001 +0 481 0001000000000001100110101111111111000000000000000000000000000001 +0 480 0001000000000001100110101111111111000000000000000000000000000001 +0 479 0001000000000001100110101111111111000000000000000000000000000001 +0 478 0001000000000001100110101111111111000000000000000000000000000001 +0 477 0001000000000001100110101111111111000000000000000000000000000001 +0 476 0001000000000001100110101111111111000000000000000000000000000001 +0 475 0001000000000001100110101111111111000000000000000000000000000001 +0 474 0001000000000001100110101111111111000000000000000000000000000001 +0 473 0001000000000001100110101111111111000000000000000000000000000001 +0 472 0001000000000001100110101111111111000000000000000000000000000001 +0 471 0001000000000001100110101111111111000000000000000000000000000001 +0 470 0001000000000001100110101111111111000000000000000000000000000001 +0 469 0001000000000001100110101111111111000000000000000000000000000001 +0 468 0001000000000001100110101111111111000000000000000000000000000001 +0 467 0001000000000001100110101111111111000000000000000000000000000001 +0 466 0001000000000001100110101111111111000000000000000000000000000001 +0 465 0001000000000001100110101111111111000000000000000000000000000001 +0 464 0001000000000001100110101111111111000000000000000000000000000001 +0 463 0001000000000001100110101111111111000000000000000000000000000001 +0 462 0001000000000001100110101111111111000000000000000000000000000001 +0 461 0001000000000001100110101111111111000000000000000000000000000001 +0 460 0001000000000001100110101111111111000000000000000000000000000001 +0 459 0001000000000001100110101111111111000000000000000000000000000001 +0 458 0001000000000001100110101111111111000000000000000000000000000001 +0 457 0001000000000001100110101111111111000000000000000000000000000001 +0 456 0001000000000001100110101111111111000000000000000000000000000001 +0 455 0001000000000001100110101111111111000000000000000000000000000001 +0 454 0001000000000001100110101111111111000000000000000000000000000001 +0 453 0001000000000001100110101111111111000000000000000000000000000001 +0 452 0001000000000001100110101111111111000000000000000000000000000001 +0 451 0001000000000001100110101111111111000000000000000000000000000001 +0 450 0001000000000001100110101111111111000000000000000000000000000001 +0 449 0001000000000001100110101111111111000000000000000000000000000001 +0 448 0001000000000001100110101111111111000000000000000000000000000001 +0 447 0001000000000001100110101111111111000000000000000000000000000001 +0 446 0001000000000001100110101111111111000000000000000000000000000001 +0 445 0001000000000001100110101111111111000000000000000000000000000001 +0 444 0001000000000001100110101111111111000000000000000000000000000001 +0 443 0001000000000001100110101111111111000000000000000000000000000001 +0 442 0001000000000001100110101111111111000000000000000000000000000001 +0 441 0001000000000001100110101111111111000000000000000000000000000001 +0 440 0001000000000001100110101111111111000000000000000000000000000001 +0 439 0001000000000001100110101111111111000000000000000000000000000001 +0 438 0001000000000001100110101111111111000000000000000000000000000001 +0 437 0001000000000001100110101111111111000000000000000000000000000001 +0 436 0001000000000001100110101111111111000000000000000000000000000001 +0 435 0001000000000001100110101111111111000000000000000000000000000001 +0 434 0001000000000001100110101111111111000000000000000000000000000001 +0 433 0001000000000001100110101111111111000000000000000000000000000001 +0 432 0001000000000001100110101111111111000000000000000000000000000001 +0 431 0001000000000001100110101111111111000000000000000000000000000001 +0 430 0001000000000001100110101111111111000000000000000000000000000001 +0 429 0001000000000001100110101111111111000000000000000000000000000001 +0 428 0001000000000001100110101111111111000000000000000000000000000001 +0 427 0001000000000001100110101111111111000000000000000000000000000001 +0 426 0001000000000001100110101111111111000000000000000000000000000001 +0 425 0001000000000001100110101111111111000000000000000000000000000001 +0 424 0001000000000001100110101111111111000000000000000000000000000001 +0 423 0001000000000001100110101111111111000000000000000000000000000001 +0 422 0001000000000001100110101111111111000000000000000000000000000001 +0 421 0001000000000001100110101111111111000000000000000000000000000001 +0 420 0001000000000001100110101111111111000000000000000000000000000001 +0 419 0001000000000001100110101111111111000000000000000000000000000001 +0 418 0001000000000001100110101111111111000000000000000000000000000001 +0 417 0001000000000001100110101111111111000000000000000000000000000001 +0 416 0001000000000001100110101111111111000000000000000000000000000001 +0 415 0001000000000001100110101111111111000000000000000000000000000001 +0 414 0001000000000001100110101111111111000000000000000000000000000001 +0 413 0001000000000001100110101111111111000000000000000000000000000001 +0 412 0001000000000001100110101111111111000000000000000000000000000001 +0 411 0001000000000001100110101111111111000000000000000000000000000001 +0 410 0001000000000001100110101111111111000000000000000000000000000001 +0 409 0001000000000001100110101111111111000000000000000000000000000001 +0 408 0001000000000001100110101111111111000000000000000000000000000001 +0 407 0001000000000001100110101111111111000000000000000000000000000001 +0 406 0001000000000001100110101111111111000000000000000000000000000001 +0 405 0001000000000001100110101111111111000000000000000000000000000001 +0 404 0001000000000001100110101111111111000000000000000000000000000001 +0 403 0001000000000001100110101111111111000000000000000000000000000001 +0 402 0001000000000001100110101111111111000000000000000000000000000001 +0 401 0001000000000001100110101111111111000000000000000000000000000001 +0 400 0001000000000001100110101111111111000000000000000000000000000001 +0 399 0001000000000001100110101111111111000000000000000000000000000001 +0 398 0001000000000001100110101111111111000000000000000000000000000001 +0 397 0001000000000001100110101111111111000000000000000000000000000001 +0 396 0001000000000001100110101111111111000000000000000000000000000001 +0 395 0001000000000001100110101111111111000000000000000000000000000001 +0 394 0001000000000001100110101111111111000000000000000000000000000001 +0 393 0001000000000001100110101111111111000000000000000000000000000001 +0 392 0001000000000001100110101111111111000000000000000000000000000001 +0 391 0001000000000001100110101111111111000000000000000000000000000001 +0 390 0001000000000001100110101111111111000000000000000000000000000001 +0 389 0001000000000001100110101111111111000000000000000000000000000001 +0 388 0001000000000001100110101111111111000000000000000000000000000001 +0 387 0001000000000001100110101111111111000000000000000000000000000001 +0 386 0001000000000001100110101111111111000000000000000000000000000001 +0 385 0001000000000001100110101111111111000000000000000000000000000001 +0 384 0001000000000001100110101111111111000000000000000000000000000001 +0 383 0001000000000001100110101111111111000000000000000000000000000001 +0 382 0001000000000001100110101111111111000000000000000000000000000001 +0 381 0001000000000001100110101111111111000000000000000000000000000001 +0 380 0001000000000001100110101111111111000000000000000000000000000001 +0 379 0001000000000001100110101111111111000000000000000000000000000001 +0 378 0001000000000001100110101111111111000000000000000000000000000001 +0 377 0001000000000001100110101111111111000000000000000000000000000001 +0 376 0001000000000001100110101111111111000000000000000000000000000001 +0 375 0001000000000001100110101111111111000000000000000000000000000001 +0 374 0001000000000001100110101111111111000000000000000000000000000001 +0 373 0001000000000001100110101111111111000000000000000000000000000001 +0 372 0001000000000001100110101111111111000000000000000000000000000001 +0 371 0001000000000001100110101111111111000000000000000000000000000001 +0 370 0001000000000001100110101111111111000000000000000000000000000001 +0 369 0001000000000001100110101111111111000000000000000000000000000001 +0 368 0001000000000001100110101111111111000000000000000000000000000001 +0 367 0001000000000001100110101111111111000000000000000000000000000001 +0 366 0001000000000001100110101111111111000000000000000000000000000001 +0 365 0001000000000001100110101111111111000000000000000000000000000001 +0 364 0001000000000001100110101111111111000000000000000000000000000001 +0 363 0001000000000001100110101111111111000000000000000000000000000001 +0 362 0001000000000001100110101111111111000000000000000000000000000001 +0 361 0001000000000001100110101111111111000000000000000000000000000001 +0 360 0001000000000001100110101111111111000000000000000000000000000001 +0 359 0001000000000001100110101111111111000000000000000000000000000001 +0 358 0001000000000001100110101111111111000000000000000000000000000001 +0 357 0001000000000001100110101111111111000000000000000000000000000001 +0 356 0001000000000001100110101111111111000000000000000000000000000001 +0 355 0001000000000001100110101111111111000000000000000000000000000001 +0 354 0001000000000001100110101111111111000000000000000000000000000001 +0 353 0001000000000001100110101111111111000000000000000000000000000001 +0 352 0001000000000001100110101111111111000000000000000000000000000001 +0 351 0001000000000001100110101111111111000000000000000000000000000001 +0 350 0001000000000001100110101111111111000000000000000000000000000001 +0 349 0001000000000001100110101111111111000000000000000000000000000001 +0 348 0001000000000001100110101111111111000000000000000000000000000001 +0 347 0001000000000001100110101111111111000000000000000000000000000001 +0 346 0001000000000001100110101111111111000000000000000000000000000001 +0 345 0001000000000001100110101111111111000000000000000000000000000001 +0 344 0001000000000001100110101111111111000000000000000000000000000001 +0 343 0001000000000001100110101111111111000000000000000000000000000001 +0 342 0001000000000001100110101111111111000000000000000000000000000001 +0 341 0001000000000001100110101111111111000000000000000000000000000001 +0 340 0001000000000001100110101111111111000000000000000000000000000001 +0 339 0001000000000001100110101111111111000000000000000000000000000001 +0 338 0001000000000001100110101111111111000000000000000000000000000001 +0 337 0001000000000001100110101111111111000000000000000000000000000001 +0 336 0001000000000001100110101111111111000000000000000000000000000001 +0 335 0001000000000001100110101111111111000000000000000000000000000001 +0 334 0001000000000001100110101111111111000000000000000000000000000001 +0 333 0001000000000001100110101111111111000000000000000000000000000001 +0 332 0001000000000001100110101111111111000000000000000000000000000001 +0 331 0001000000000001100110101111111111000000000000000000000000000001 +0 330 0001000000000001100110101111111111000000000000000000000000000001 +0 329 0001000000000001100110101111111111000000000000000000000000000001 +0 328 0001000000000001100110101111111111000000000000000000000000000001 +0 327 0001000000000001100110101111111111000000000000000000000000000001 +0 326 0001000000000001100110101111111111000000000000000000000000000001 +0 325 0001000000000001100110101111111111000000000000000000000000000001 +0 324 0001000000000001100110101111111111000000000000000000000000000001 +0 323 0001000000000001100110101111111111000000000000000000000000000001 +0 322 0001000000000001100110101111111111000000000000000000000000000001 +0 321 0001000000000001100110101111111111000000000000000000000000000001 +0 320 0001000000000001100110101111111111000000000000000000000000000001 +0 319 0001000000000001100110101111111111000000000000000000000000000001 +0 318 0001000000000001100110101111111111000000000000000000000000000001 +0 317 0001000000000001100110101111111111000000000000000000000000000001 +0 316 0001000000000001100110101111111111000000000000000000000000000001 +0 315 0001000000000001100110101111111111000000000000000000000000000001 +0 314 0001000000000001100110101111111111000000000000000000000000000001 +0 313 0001000000000001100110101111111111000000000000000000000000000001 +0 312 0001000000000001100110101111111111000000000000000000000000000001 +0 311 0001000000000001100110101111111111000000000000000000000000000001 +0 310 0001000000000001100110101111111111000000000000000000000000000001 +0 309 0001000000000001100110101111111111000000000000000000000000000001 +0 308 0001000000000001100110101111111111000000000000000000000000000001 +0 307 0001000000000001100110101111111111000000000000000000000000000001 +0 306 0001000000000001100110101111111111000000000000000000000000000001 +0 305 0001000000000001100110101111111111000000000000000000000000000001 +0 304 0001000000000001100110101111111111000000000000000000000000000001 +0 303 0001000000000001100110101111111111000000000000000000000000000001 +0 302 0001000000000001100110101111111111000000000000000000000000000001 +0 301 0001000000000001100110101111111111000000000000000000000000000001 +0 300 0001000000000001100110101111111111000000000000000000000000000001 +0 299 0001000000000001100110101111111111000000000000000000000000000001 +0 298 0001000000000001100110101111111111000000000000000000000000000001 +0 297 0001000000000001100110101111111111000000000000000000000000000001 +0 296 0001000000000001100110101111111111000000000000000000000000000001 +0 295 0001000000000001100110101111111111000000000000000000000000000001 +0 294 0001000000000001100110101111111111000000000000000000000000000001 +0 293 0001000000000001100110101111111111000000000000000000000000000001 +0 292 0001000000000001100110101111111111000000000000000000000000000001 +0 291 0001000000000001100110101111111111000000000000000000000000000001 +0 290 0001000000000001100110101111111111000000000000000000000000000001 +0 289 0001000000000001100110101111111111000000000000000000000000000001 +0 288 0001000000000001100110101111111111000000000000000000000000000001 +0 287 0001000000000001100110101111111111000000000000000000000000000001 +0 286 0001000000000001100110101111111111000000000000000000000000000001 +0 285 0001000000000001100110101111111111000000000000000000000000000001 +0 284 0001000000000001100110101111111111000000000000000000000000000001 +0 283 0001000000000001100110101111111111000000000000000000000000000001 +0 282 0001000000000001100110101111111111000000000000000000000000000001 +0 281 0001000000000001100110101111111111000000000000000000000000000001 +0 280 0001000000000001100110101111111111000000000000000000000000000001 +0 279 0001000000000001100110101111111111000000000000000000000000000001 +0 278 0001000000000001100110101111111111000000000000000000000000000001 +0 277 0001000000000001100110101111111111000000000000000000000000000001 +0 276 0001000000000001100110101111111111000000000000000000000000000001 +0 275 0001000000000001100110101111111111000000000000000000000000000001 +0 274 0001000000000001100110101111111111000000000000000000000000000001 +0 273 0001000000000001100110101111111111000000000000000000000000000001 +0 272 0001000000000001100110101111111111000000000000000000000000000001 +0 271 0001000000000001100110101111111111000000000000000000000000000001 +0 270 0001000000000001100110101111111111000000000000000000000000000001 +0 269 0001000000000001100110101111111111000000000000000000000000000001 +0 268 0001000000000001100110101111111111000000000000000000000000000001 +0 267 0001000000000001100110101111111111000000000000000000000000000001 +0 266 0001000000000001100110101111111111000000000000000000000000000001 +0 265 0001000000000001100110101111111111000000000000000000000000000001 +0 264 0001000000000001100110101111111111000000000000000000000000000001 +0 263 0001000000000001100110101111111111000000000000000000000000000001 +0 262 0001000000000001100110101111111111000000000000000000000000000001 +0 261 0001000000000001100110101111111111000000000000000000000000000001 +0 260 0001000000000001100110101111111111000000000000000000000000000001 +0 259 0001000000000001100110101111111111000000000000000000000000000001 +0 258 0001000000000001100110101111111111000000000000000000000000000001 +0 257 0001000000000001100110101111111111000000000000000000000000000001 +0 256 0001000000000001100110101111111111000000000000000000000000000001 +0 255 0001000000000001100110101111111111000000000000000000000000000001 +0 254 0001000000000001100110101111111111000000000000000000000000000001 +0 253 0001000000000001100110101111111111000000000000000000000000000001 +0 252 0001000000000001100110101111111111000000000000000000000000000001 +0 251 0001000000000001100110101111111111000000000000000000000000000001 +0 250 0001000000000001100110101111111111000000000000000000000000000001 +0 249 0001000000000001100110101111111111000000000000000000000000000001 +0 248 0001000000000001100110101111111111000000000000000000000000000001 +0 247 0001000000000001100110101111111111000000000000000000000000000001 +0 246 0001000000000001100110101111111111000000000000000000000000000001 +0 245 0001000000000001100110101111111111000000000000000000000000000001 +0 244 0001000000000001100110101111111111000000000000000000000000000001 +0 243 0001000000000001100110101111111111000000000000000000000000000001 +0 242 0001000000000001100110101111111111000000000000000000000000000001 +0 241 0001000000000001100110101111111111000000000000000000000000000001 +0 240 0001000000000001100110101111111111000000000000000000000000000001 +0 239 0001000000000001100110101111111111000000000000000000000000000001 +0 238 0001000000000001100110101111111111000000000000000000000000000001 +0 237 0001000000000001100110101111111111000000000000000000000000000001 +0 236 0001000000000001100110101111111111000000000000000000000000000001 +0 235 0001000000000001100110101111111111000000000000000000000000000001 +0 234 0001000000000001100110101111111111000000000000000000000000000001 +0 233 0001000000000001100110101111111111000000000000000000000000000001 +0 232 0001000000000001100110101111111111000000000000000000000000000001 +0 231 0001000000000001100110101111111111000000000000000000000000000001 +0 230 0001000000000001100110101111111111000000000000000000000000000001 +0 229 0001000000000001100110101111111111000000000000000000000000000001 +0 228 0001000000000001100110101111111111000000000000000000000000000001 +0 227 0001000000000001100110101111111111000000000000000000000000000001 +0 226 0001000000000001100110101111111111000000000000000000000000000001 +0 225 0001000000000001100110101111111111000000000000000000000000000001 +0 224 0001000000000001100110101111111111000000000000000000000000000001 +0 223 0001000000000001100110101111111111000000000000000000000000000001 +0 222 0001000000000001100110101111111111000000000000000000000000000001 +0 221 0001000000000001100110101111111111000000000000000000000000000001 +0 220 0001000000000001100110101111111111000000000000000000000000000001 +0 219 0001000000000001100110101111111111000000000000000000000000000001 +0 218 0001000000000001100110101111111111000000000000000000000000000001 +0 217 0001000000000001100110101111111111000000000000000000000000000001 +0 216 0001000000000001100110101111111111000000000000000000000000000001 +0 215 0001000000000001100110101111111111000000000000000000000000000001 +0 214 0001000000000001100110101111111111000000000000000000000000000001 +0 213 0001000000000001100110101111111111000000000000000000000000000001 +0 212 0001000000000001100110101111111111000000000000000000000000000001 +0 211 0001000000000001100110101111111111000000000000000000000000000001 +0 210 0001000000000001100110101111111111000000000000000000000000000001 +0 209 0001000000000001100110101111111111000000000000000000000000000001 +0 208 0001000000000001100110101111111111000000000000000000000000000001 +0 207 0001000000000001100110101111111111000000000000000000000000000001 +0 206 0001000000000001100110101111111111000000000000000000000000000001 +0 205 0001000000000001100110101111111111000000000000000000000000000001 +0 204 0001000000000001100110101111111111000000000000000000000000000001 +0 203 0001000000000001100110101111111111000000000000000000000000000001 +0 202 0001000000000001100110101111111111000000000000000000000000000001 +0 201 0001000000000001100110101111111111000000000000000000000000000001 +0 200 0001000000000001100110101111111111000000000000000000000000000001 +0 199 0001000000000001100110101111111111000000000000000000000000000001 +0 198 0001000000000001100110101111111111000000000000000000000000000001 +0 197 0001000000000001100110101111111111000000000000000000000000000001 +0 196 0001000000000001100110101111111111000000000000000000000000000001 +0 195 0001000000000001100110101111111111000000000000000000000000000001 +0 194 0001000000000001100110101111111111000000000000000000000000000001 +0 193 0001000000000001100110101111111111000000000000000000000000000001 +0 192 0001000000000001100110101111111111000000000000000000000000000001 +0 191 0001000000000001100110101111111111000000000000000000000000000001 +0 190 0001000000000001100110101111111111000000000000000000000000000001 +0 189 0001000000000001100110101111111111000000000000000000000000000001 +0 188 0001000000000001100110101111111111000000000000000000000000000001 +0 187 0001000000000001100110101111111111000000000000000000000000000001 +0 186 0001000000000001100110101111111111000000000000000000000000000001 +0 185 0001000000000001100110101111111111000000000000000000000000000001 +0 184 0001000000000001100110101111111111000000000000000000000000000001 +0 183 0001000000000001100110101111111111000000000000000000000000000001 +0 182 0001000000000001100110101111111111000000000000000000000000000001 +0 181 0001000000000001100110101111111111000000000000000000000000000001 +0 180 0001000000000001100110101111111111000000000000000000000000000001 +0 179 0001000000000001100110101111111111000000000000000000000000000001 +0 178 0001000000000001100110101111111111000000000000000000000000000001 +0 177 0001000000000001100110101111111111000000000000000000000000000001 +0 176 0001000000000001100110101111111111000000000000000000000000000001 +0 175 0001000000000001100110101111111111000000000000000000000000000001 +0 174 0001000000000001100110101111111111000000000000000000000000000001 +0 173 0001000000000001100110101111111111000000000000000000000000000001 +0 172 0001000000000001100110101111111111000000000000000000000000000001 +0 171 0001000000000001100110101111111111000000000000000000000000000001 +0 170 0001000000000001100110101111111111000000000000000000000000000001 +0 169 0001000000000001100110101111111111000000000000000000000000000001 +0 168 0001000000000001100110101111111111000000000000000000000000000001 +0 167 0001000000000001100110101111111111000000000000000000000000000001 +0 166 0001000000000001100110101111111111000000000000000000000000000001 +0 165 0001000000000001100110101111111111000000000000000000000000000001 +0 164 0001000000000001100110101111111111000000000000000000000000000001 +0 163 0001000000000001100110101111111111000000000000000000000000000001 +0 162 0001000000000001100110101111111111000000000000000000000000000001 +0 161 0001000000000001100110101111111111000000000000000000000000000001 +0 160 0001000000000001100110101111111111000000000000000000000000000001 +0 159 0001000000000001100110101111111111000000000000000000000000000001 +0 158 0001000000000001100110101111111111000000000000000000000000000001 +0 157 0001000000000001100110101111111111000000000000000000000000000001 +0 156 0001000000000001100110101111111111000000000000000000000000000001 +0 155 0001000000000001100110101111111111000000000000000000000000000001 +0 154 0001000000000001100110101111111111000000000000000000000000000001 +0 153 0001000000000001100110101111111111000000000000000000000000000001 +0 152 0001000000000001100110101111111111000000000000000000000000000001 +0 151 0001000000000001100110101111111111000000000000000000000000000001 +0 150 0001000000000001100110101111111111000000000000000000000000000001 +0 149 0001000000000001100110101111111111000000000000000000000000000001 +0 148 0001000000000001100110101111111111000000000000000000000000000001 +0 147 0001000000000001100110101111111111000000000000000000000000000001 +0 146 0001000000000001100110101111111111000000000000000000000000000001 +0 145 0001000000000001100110101111111111000000000000000000000000000001 +0 144 0001000000000001100110101111111111000000000000000000000000000001 +0 143 0001000000000001100110101111111111000000000000000000000000000001 +0 142 0001000000000001100110101111111111000000000000000000000000000001 +0 141 0001000000000001100110101111111111000000000000000000000000000001 +0 140 0001000000000001100110101111111111000000000000000000000000000001 +0 139 0001000000000001100110101111111111000000000000000000000000000001 +0 138 0001000000000001100110101111111111000000000000000000000000000001 +0 137 0001000000000001100110101111111111000000000000000000000000000001 +0 136 0001000000000001100110101111111111000000000000000000000000000001 +0 135 0001000000000001100110101111111111000000000000000000000000000001 +0 134 0001000000000001100110101111111111000000000000000000000000000001 +0 133 0001000000000001100110101111111111000000000000000000000000000001 +0 132 0001000000000001100110101111111111000000000000000000000000000001 +0 131 0001000000000001100110101111111111000000000000000000000000000001 +0 130 0001000000000001100110101111111111000000000000000000000000000001 +0 129 0001000000000001100110101111111111000000000000000000000000000001 +0 128 0001000000000001100110101111111111000000000000000000000000000001 +0 127 0001000000000001100110101111111111000000000000000000000000000001 +0 126 0001000000000001100110101111111111000000000000000000000000000001 +0 125 0001000000000001100110101111111111000000000000000000000000000001 +0 124 0001000000000001100110101111111111000000000000000000000000000001 +0 123 0001000000000001100110101111111111000000000000000000000000000001 +0 122 0001000000000001100110101111111111000000000000000000000000000001 +0 121 0001000000000001100110101111111111000000000000000000000000000001 +0 120 0001000000000001100110101111111111000000000000000000000000000001 +0 119 0001000000000001100110101111111111000000000000000000000000000001 +0 118 0001000000000001100110101111111111000000000000000000000000000001 +0 117 0001000000000001100110101111111111000000000000000000000000000001 +0 116 0001000000000001100110101111111111000000000000000000000000000001 +0 115 0001000000000001100110101111111111000000000000000000000000000001 +0 114 0001000000000001100110101111111111000000000000000000000000000001 +0 113 0001000000000001100110101111111111000000000000000000000000000001 +0 112 0001000000000001100110101111111111000000000000000000000000000001 +0 111 0001000000000001100110101111111111000000000000000000000000000001 +0 110 0001000000000001100110101111111111000000000000000000000000000001 +0 109 0001000000000001100110101111111111000000000000000000000000000001 +0 108 0001000000000001100110101111111111000000000000000000000000000001 +0 107 0001000000000001100110101111111111000000000000000000000000000001 +0 106 0001000000000001100110101111111111000000000000000000000000000001 +0 105 0001000000000001100110101111111111000000000000000000000000000001 +0 104 0001000000000001100110101111111111000000000000000000000000000001 +0 103 0001000000000001100110101111111111000000000000000000000000000001 +0 102 0001000000000001100110101111111111000000000000000000000000000001 +0 101 0001000000000001100110101111111111000000000000000000000000000001 +0 100 0001000000000001100110101111111111000000000000000000000000000001 +0 99 0001000000000001100110101111111111000000000000000000000000000001 +0 98 0001000000000001100110101111111111000000000000000000000000000001 +0 97 0001000000000001100110101111111111000000000000000000000000000001 +0 96 0001000000000001100110101111111111000000000000000000000000000001 +0 95 0001000000000001100110101111111111000000000000000000000000000001 +0 94 0001000000000001100110101111111111000000000000000000000000000001 +0 93 0001000000000001100110101111111111000000000000000000000000000001 +0 92 0001000000000001100110101111111111000000000000000000000000000001 +0 91 0001000000000001100110101111111111000000000000000000000000000001 +0 90 0001000000000001100110101111111111000000000000000000000000000001 +0 89 0001000000000001100110101111111111000000000000000000000000000001 +0 88 0001000000000001100110101111111111000000000000000000000000000001 +0 87 0001000000000001100110101111111111000000000000000000000000000001 +0 86 0001000000000001100110101111111111000000000000000000000000000001 +0 85 0001000000000001100110101111111111000000000000000000000000000001 +0 84 0001000000000001100110101111111111000000000000000000000000000001 +0 83 0001000000000001100110101111111111000000000000000000000000000001 +0 82 0001000000000001100110101111111111000000000000000000000000000001 +0 81 0001000000000001100110101111111111000000000000000000000000000001 +0 80 0001000000000001100110101111111111000000000000000000000000000001 +0 79 0001000000000001100110101111111111000000000000000000000000000001 +0 78 0001000000000001100110101111111111000000000000000000000000000001 +0 77 0001000000000001100110101111111111000000000000000000000000000001 +0 76 0001000000000001100110101111111111000000000000000000000000000001 +0 75 0001000000000001100110101111111111000000000000000000000000000001 +0 74 0001000000000001100110101111111111000000000000000000000000000001 +0 73 0001000000000001100110101111111111000000000000000000000000000001 +0 72 0001000000000001100110101111111111000000000000000000000000000001 +0 71 0001000000000001100110101111111111000000000000000000000000000001 +0 70 0001000000000001100110101111111111000000000000000000000000000001 +0 69 0001000000000001100110101111111111000000000000000000000000000001 +0 68 0001000000000001100110101111111111000000000000000000000000000001 +0 67 0001000000000001100110101111111111000000000000000000000000000001 +0 66 0001000000000001100110101111111111000000000000000000000000000001 +0 65 0001000000000001100110101111111111000000000000000000000000000001 +0 64 0001000000000001100110101111111111000000000000000000000000000001 +0 63 0001000000000001100110101111111111000000000000000000000000000001 +0 62 0001000000000001100110101111111111000000000000000000000000000001 +0 61 0001000000000001100110101111111111000000000000000000000000000001 +0 60 0001000000000001100110101111111111000000000000000000000000000001 +0 59 0001000000000001100110101111111111000000000000000000000000000001 +0 58 0001000000000001100110101111111111000000000000000000000000000001 +0 57 0001000000000001100110101111111111000000000000000000000000000001 +0 56 0001000000000001100110101111111111000000000000000000000000000001 +0 55 0001000000000001100110101111111111000000000000000000000000000001 +0 54 0001000000000001100110101111111111000000000000000000000000000001 +0 53 0001000000000001100110101111111111000000000000000000000000000001 +0 52 0001000000000001100110101111111111000000000000000000000000000001 +0 51 0001000000000001100110101111111111000000000000000000000000000001 +0 50 0001000000000001100110101111111111000000000000000000000000000001 +0 49 0001000000000001100110101111111111000000000000000000000000000001 +0 48 0001000000000001100110101111111111000000000000000000000000000001 +0 47 0001000000000001100110101111111111000000000000000000000000000001 +0 46 0001000000000001100110101111111111000000000000000000000000000001 +0 45 0001000000000001100110101111111111000000000000000000000000000001 +0 44 0001000000000001100110101111111111000000000000000000000000000001 +0 43 0001000000000001100110101111111111000000000000000000000000000001 +0 42 0001000000000001100110101111111111000000000000000000000000000001 +0 41 0001000000000001100110101111111111000000000000000000000000000001 +0 40 0001000000000001100110101111111111000000000000000000000000000001 +0 39 0001000000000001100110101111111111000000000000000000000000000001 +0 38 0001000000000001100110101111111111000000000000000000000000000001 +0 37 0001000000000001100110101111111111000000000000000000000000000001 +0 36 0001000000000001100110101111111111000000000000000000000000000001 +0 35 0001000000000001100110101111111111000000000000000000000000000001 +0 34 0001000000000001100110101111111111000000000000000000000000000001 +0 33 0001000000000001100110101111111111000000000000000000000000000001 +0 32 0001000000000001100110101111111111000000000000000000000000000001 +0 31 0001000000000001100110101111111111000000000000000000000000000001 +0 30 0001000000000001100110101111111111000000000000000000000000000001 +0 29 0001000000000001100110101111111111000000000000000000000000000001 +0 28 0001000000000001100110101111111111000000000000000000000000000001 +0 27 0001000000000001100110101111111111000000000000000000000000000001 +0 26 0001000000000001100110101111111111000000000000000000000000000001 +0 25 0001000000000001100110101111111111000000000000000000000000000001 +0 24 0001000000000001100110101111111111000000000000000000000000000001 +0 23 0001000000000001100110101111111111000000000000000000000000000001 +0 22 0001000000000001100110101111111111000000000000000000000000000001 +0 21 0001000000000001100110101111111111000000000000000000000000000001 +0 20 0001000000000001100110101111111111000000000000000000000000000001 +0 19 0001000000000001100110101111111111000000000000000000000000000001 +0 18 0001000000000001100110101111111111000000000000000000000000000001 +0 17 0001000000000001100110101111111111000000000000000000000000000001 +0 16 0001000000000001100110101111111111000000000000000000000000000001 +0 15 0001000000000001100110101111111111000000000000000000000000000001 +0 14 0001000000000001100110101111111111000000000000000000000000000001 +0 13 0001000000000001100110101111111111000000000000000000000000000001 +0 12 0001000000000001100110101111111111000000000000000000000000000001 +0 11 0001000000000001100110101111111111000000000000000000000000000001 +0 10 0001000000000001100110101111111111000000000000000000000000000001 +0 9 0001000000000001100110101111111111000000000000000000000000000001 +0 8 0001000000000001100110101111111111000000000000000000000000000001 +0 7 0001000000000001100110101111111111000000000000000000000000000001 +0 6 0001000000000001100110101111111111000000000000000000000000000001 +0 5 0001000000000001100110101111111111000000000000000000000000000001 +0 4 0001000000000001100110101111111111000000000000000000000000000001 +0 3 0001000000000001100110101111111111000000000000000000000000000001 +0 2 0001000000000001100110101111111111000000000000000000000000000001 +0 1 0001000000000001100110101111111111000000000000000000000000000001 +0 0 0001000000000001100110101111111111000000000000000000000000000001 +0 540 0001000000000001101110010000000000000000000000000000000000000001 +0 264 0001000000000011101011110000000000000000000000000000000000000001 +0 787 0001000000000001110010100000000000000000000000000000000000000001 +0 1023 0001000000000010000000001111111111000000000000000000000000000001 +0 1022 0001000000000010000000001111111111000000000000000000000000000001 +0 1021 0001000000000010000000001111111111000000000000000000000000000001 +0 1020 0001000000000010000000001111111111000000000000000000000000000001 +0 1019 0001000000000010000000001111111111000000000000000000000000000001 +0 1018 0001000000000010000000001111111111000000000000000000000000000001 +0 1017 0001000000000010000000001111111111000000000000000000000000000001 +0 1016 0001000000000010000000001111111111000000000000000000000000000001 +0 1015 0001000000000010000000001111111111000000000000000000000000000001 +0 1014 0001000000000010000000001111111111000000000000000000000000000001 +0 1013 0001000000000010000000001111111111000000000000000000000000000001 +0 1012 0001000000000010000000001111111111000000000000000000000000000001 +0 1011 0001000000000010000000001111111111000000000000000000000000000001 +0 1010 0001000000000010000000001111111111000000000000000000000000000001 +0 1009 0001000000000010000000001111111111000000000000000000000000000001 +0 1008 0001000000000010000000001111111111000000000000000000000000000001 +0 1007 0001000000000010000000001111111111000000000000000000000000000001 +0 1006 0001000000000010000000001111111111000000000000000000000000000001 +0 1005 0001000000000010000000001111111111000000000000000000000000000001 +0 1004 0001000000000010000000001111111111000000000000000000000000000001 +0 1003 0001000000000010000000001111111111000000000000000000000000000001 +0 1002 0001000000000010000000001111111111000000000000000000000000000001 +0 1001 0001000000000010000000001111111111000000000000000000000000000001 +0 1000 0001000000000010000000001111111111000000000000000000000000000001 +0 999 0001000000000010000000001111111111000000000000000000000000000001 +0 998 0001000000000010000000001111111111000000000000000000000000000001 +0 997 0001000000000010000000001111111111000000000000000000000000000001 +0 996 0001000000000010000000001111111111000000000000000000000000000001 +0 995 0001000000000010000000001111111111000000000000000000000000000001 +0 994 0001000000000010000000001111111111000000000000000000000000000001 +0 993 0001000000000010000000001111111111000000000000000000000000000001 +0 992 0001000000000010000000001111111111000000000000000000000000000001 +0 991 0001000000000010000000001111111111000000000000000000000000000001 +0 990 0001000000000010000000001111111111000000000000000000000000000001 +0 989 0001000000000010000000001111111111000000000000000000000000000001 +0 988 0001000000000010000000001111111111000000000000000000000000000001 +0 987 0001000000000010000000001111111111000000000000000000000000000001 +0 986 0001000000000010000000001111111111000000000000000000000000000001 +0 985 0001000000000010000000001111111111000000000000000000000000000001 +0 984 0001000000000010000000001111111111000000000000000000000000000001 +0 983 0001000000000010000000001111111111000000000000000000000000000001 +0 982 0001000000000010000000001111111111000000000000000000000000000001 +0 981 0001000000000010000000001111111111000000000000000000000000000001 +0 980 0001000000000010000000001111111111000000000000000000000000000001 +0 979 0001000000000010000000001111111111000000000000000000000000000001 +0 978 0001000000000010000000001111111111000000000000000000000000000001 +0 977 0001000000000010000000001111111111000000000000000000000000000001 +0 976 0001000000000010000000001111111111000000000000000000000000000001 +0 975 0001000000000010000000001111111111000000000000000000000000000001 +0 974 0001000000000010000000001111111111000000000000000000000000000001 +0 973 0001000000000010000000001111111111000000000000000000000000000001 +0 972 0001000000000010000000001111111111000000000000000000000000000001 +0 971 0001000000000010000000001111111111000000000000000000000000000001 +0 970 0001000000000010000000001111111111000000000000000000000000000001 +0 969 0001000000000010000000001111111111000000000000000000000000000001 +0 968 0001000000000010000000001111111111000000000000000000000000000001 +0 967 0001000000000010000000001111111111000000000000000000000000000001 +0 966 0001000000000010000000001111111111000000000000000000000000000001 +0 965 0001000000000010000000001111111111000000000000000000000000000001 +0 964 0001000000000010000000001111111111000000000000000000000000000001 +0 963 0001000000000010000000001111111111000000000000000000000000000001 +0 962 0001000000000010000000001111111111000000000000000000000000000001 +0 961 0001000000000010000000001111111111000000000000000000000000000001 +0 960 0001000000000010000000001111111111000000000000000000000000000001 +0 959 0001000000000010000000001111111111000000000000000000000000000001 +0 958 0001000000000010000000001111111111000000000000000000000000000001 +0 957 0001000000000010000000001111111111000000000000000000000000000001 +0 956 0001000000000010000000001111111111000000000000000000000000000001 +0 955 0001000000000010000000001111111111000000000000000000000000000001 +0 954 0001000000000010000000001111111111000000000000000000000000000001 +0 953 0001000000000010000000001111111111000000000000000000000000000001 +0 952 0001000000000010000000001111111111000000000000000000000000000001 +0 951 0001000000000010000000001111111111000000000000000000000000000001 +0 950 0001000000000010000000001111111111000000000000000000000000000001 +0 949 0001000000000010000000001111111111000000000000000000000000000001 +0 948 0001000000000010000000001111111111000000000000000000000000000001 +0 947 0001000000000010000000001111111111000000000000000000000000000001 +0 946 0001000000000010000000001111111111000000000000000000000000000001 +0 945 0001000000000010000000001111111111000000000000000000000000000001 +0 944 0001000000000010000000001111111111000000000000000000000000000001 +0 943 0001000000000010000000001111111111000000000000000000000000000001 +0 942 0001000000000010000000001111111111000000000000000000000000000001 +0 941 0001000000000010000000001111111111000000000000000000000000000001 +0 940 0001000000000010000000001111111111000000000000000000000000000001 +0 939 0001000000000010000000001111111111000000000000000000000000000001 +0 938 0001000000000010000000001111111111000000000000000000000000000001 +0 937 0001000000000010000000001111111111000000000000000000000000000001 +0 936 0001000000000010000000001111111111000000000000000000000000000001 +0 935 0001000000000010000000001111111111000000000000000000000000000001 +0 934 0001000000000010000000001111111111000000000000000000000000000001 +0 933 0001000000000010000000001111111111000000000000000000000000000001 +0 932 0001000000000010000000001111111111000000000000000000000000000001 +0 931 0001000000000010000000001111111111000000000000000000000000000001 +0 930 0001000000000010000000001111111111000000000000000000000000000001 +0 929 0001000000000010000000001111111111000000000000000000000000000001 +0 928 0001000000000010000000001111111111000000000000000000000000000001 +0 927 0001000000000010000000001111111111000000000000000000000000000001 +0 926 0001000000000010000000001111111111000000000000000000000000000001 +0 925 0001000000000010000000001111111111000000000000000000000000000001 +0 924 0001000000000010000000001111111111000000000000000000000000000001 +0 923 0001000000000010000000001111111111000000000000000000000000000001 +0 922 0001000000000010000000001111111111000000000000000000000000000001 +0 921 0001000000000010000000001111111111000000000000000000000000000001 +0 920 0001000000000010000000001111111111000000000000000000000000000001 +0 919 0001000000000010000000001111111111000000000000000000000000000001 +0 918 0001000000000010000000001111111111000000000000000000000000000001 +0 917 0001000000000010000000001111111111000000000000000000000000000001 +0 916 0001000000000010000000001111111111000000000000000000000000000001 +0 915 0001000000000010000000001111111111000000000000000000000000000001 +0 914 0001000000000010000000001111111111000000000000000000000000000001 +0 913 0001000000000010000000001111111111000000000000000000000000000001 +0 912 0001000000000010000000001111111111000000000000000000000000000001 +0 911 0001000000000010000000001111111111000000000000000000000000000001 +0 910 0001000000000010000000001111111111000000000000000000000000000001 +0 909 0001000000000010000000001111111111000000000000000000000000000001 +0 908 0001000000000010000000001111111111000000000000000000000000000001 +0 907 0001000000000010000000001111111111000000000000000000000000000001 +0 906 0001000000000010000000001111111111000000000000000000000000000001 +0 905 0001000000000010000000001111111111000000000000000000000000000001 +0 904 0001000000000010000000001111111111000000000000000000000000000001 +0 903 0001000000000010000000001111111111000000000000000000000000000001 +0 902 0001000000000010000000001111111111000000000000000000000000000001 +0 901 0001000000000010000000001111111111000000000000000000000000000001 +0 900 0001000000000010000000001111111111000000000000000000000000000001 +0 899 0001000000000010000000001111111111000000000000000000000000000001 +0 898 0001000000000010000000001111111111000000000000000000000000000001 +0 897 0001000000000010000000001111111111000000000000000000000000000001 +0 896 0001000000000010000000001111111111000000000000000000000000000001 +0 895 0001000000000010000000001111111111000000000000000000000000000001 +0 894 0001000000000010000000001111111111000000000000000000000000000001 +0 893 0001000000000010000000001111111111000000000000000000000000000001 +0 892 0001000000000010000000001111111111000000000000000000000000000001 +0 891 0001000000000010000000001111111111000000000000000000000000000001 +0 890 0001000000000010000000001111111111000000000000000000000000000001 +0 889 0001000000000010000000001111111111000000000000000000000000000001 +0 888 0001000000000010000000001111111111000000000000000000000000000001 +0 887 0001000000000010000000001111111111000000000000000000000000000001 +0 886 0001000000000010000000001111111111000000000000000000000000000001 +0 885 0001000000000010000000001111111111000000000000000000000000000001 +0 884 0001000000000010000000001111111111000000000000000000000000000001 +0 883 0001000000000010000000001111111111000000000000000000000000000001 +0 882 0001000000000010000000001111111111000000000000000000000000000001 +0 881 0001000000000010000000001111111111000000000000000000000000000001 +0 880 0001000000000010000000001111111111000000000000000000000000000001 +0 879 0001000000000010000000001111111111000000000000000000000000000001 +0 878 0001000000000010000000001111111111000000000000000000000000000001 +0 877 0001000000000010000000001111111111000000000000000000000000000001 +0 876 0001000000000010000000001111111111000000000000000000000000000001 +0 875 0001000000000010000000001111111111000000000000000000000000000001 +0 874 0001000000000010000000001111111111000000000000000000000000000001 +0 873 0001000000000010000000001111111111000000000000000000000000000001 +0 872 0001000000000010000000001111111111000000000000000000000000000001 +0 871 0001000000000010000000001111111111000000000000000000000000000001 +0 870 0001000000000010000000001111111111000000000000000000000000000001 +0 869 0001000000000010000000001111111111000000000000000000000000000001 +0 868 0001000000000010000000001111111111000000000000000000000000000001 +0 867 0001000000000010000000001111111111000000000000000000000000000001 +0 866 0001000000000010000000001111111111000000000000000000000000000001 +0 865 0001000000000010000000001111111111000000000000000000000000000001 +0 864 0001000000000010000000001111111111000000000000000000000000000001 +0 863 0001000000000010000000001111111111000000000000000000000000000001 +0 862 0001000000000010000000001111111111000000000000000000000000000001 +0 861 0001000000000010000000001111111111000000000000000000000000000001 +0 860 0001000000000010000000001111111111000000000000000000000000000001 +0 859 0001000000000010000000001111111111000000000000000000000000000001 +0 858 0001000000000010000000001111111111000000000000000000000000000001 +0 857 0001000000000010000000001111111111000000000000000000000000000001 +0 856 0001000000000010000000001111111111000000000000000000000000000001 +0 855 0001000000000010000000001111111111000000000000000000000000000001 +0 854 0001000000000010000000001111111111000000000000000000000000000001 +0 853 0001000000000010000000001111111111000000000000000000000000000001 +0 852 0001000000000010000000001111111111000000000000000000000000000001 +0 851 0001000000000010000000001111111111000000000000000000000000000001 +0 850 0001000000000010000000001111111111000000000000000000000000000001 +0 849 0001000000000010000000001111111111000000000000000000000000000001 +0 848 0001000000000010000000001111111111000000000000000000000000000001 +0 847 0001000000000010000000001111111111000000000000000000000000000001 +0 846 0001000000000010000000001111111111000000000000000000000000000001 +0 845 0001000000000010000000001111111111000000000000000000000000000001 +0 844 0001000000000010000000001111111111000000000000000000000000000001 +0 843 0001000000000010000000001111111111000000000000000000000000000001 +0 842 0001000000000010000000001111111111000000000000000000000000000001 +0 841 0001000000000010000000001111111111000000000000000000000000000001 +0 840 0001000000000010000000001111111111000000000000000000000000000001 +0 839 0001000000000010000000001111111111000000000000000000000000000001 +0 838 0001000000000010000000001111111111000000000000000000000000000001 +0 837 0001000000000010000000001111111111000000000000000000000000000001 +0 836 0001000000000010000000001111111111000000000000000000000000000001 +0 835 0001000000000010000000001111111111000000000000000000000000000001 +0 834 0001000000000010000000001111111111000000000000000000000000000001 +0 833 0001000000000010000000001111111111000000000000000000000000000001 +0 832 0001000000000010000000001111111111000000000000000000000000000001 +0 831 0001000000000010000000001111111111000000000000000000000000000001 +0 830 0001000000000010000000001111111111000000000000000000000000000001 +0 829 0001000000000010000000001111111111000000000000000000000000000001 +0 828 0001000000000010000000001111111111000000000000000000000000000001 +0 827 0001000000000010000000001111111111000000000000000000000000000001 +0 826 0001000000000010000000001111111111000000000000000000000000000001 +0 825 0001000000000010000000001111111111000000000000000000000000000001 +0 824 0001000000000010000000001111111111000000000000000000000000000001 +0 823 0001000000000010000000001111111111000000000000000000000000000001 +0 822 0001000000000010000000001111111111000000000000000000000000000001 +0 821 0001000000000010000000001111111111000000000000000000000000000001 +0 820 0001000000000010000000001111111111000000000000000000000000000001 +0 819 0001000000000010000000001111111111000000000000000000000000000001 +0 818 0001000000000010000000001111111111000000000000000000000000000001 +0 817 0001000000000010000000001111111111000000000000000000000000000001 +0 816 0001000000000010000000001111111111000000000000000000000000000001 +0 815 0001000000000010000000001111111111000000000000000000000000000001 +0 814 0001000000000010000000001111111111000000000000000000000000000001 +0 813 0001000000000010000000001111111111000000000000000000000000000001 +0 812 0001000000000010000000001111111111000000000000000000000000000001 +0 811 0001000000000010000000001111111111000000000000000000000000000001 +0 810 0001000000000010000000001111111111000000000000000000000000000001 +0 809 0001000000000010000000001111111111000000000000000000000000000001 +0 808 0001000000000010000000001111111111000000000000000000000000000001 +0 807 0001000000000010000000001111111111000000000000000000000000000001 +0 806 0001000000000010000000001111111111000000000000000000000000000001 +0 805 0001000000000010000000001111111111000000000000000000000000000001 +0 804 0001000000000010000000001111111111000000000000000000000000000001 +0 803 0001000000000010000000001111111111000000000000000000000000000001 +0 802 0001000000000010000000001111111111000000000000000000000000000001 +0 801 0001000000000010000000001111111111000000000000000000000000000001 +0 800 0001000000000010000000001111111111000000000000000000000000000001 +0 799 0001000000000010000000001111111111000000000000000000000000000001 +0 798 0001000000000010000000001111111111000000000000000000000000000001 +0 797 0001000000000010000000001111111111000000000000000000000000000001 +0 796 0001000000000010000000001111111111000000000000000000000000000001 +0 795 0001000000000010000000001111111111000000000000000000000000000001 +0 794 0001000000000010000000001111111111000000000000000000000000000001 +0 793 0001000000000010000000001111111111000000000000000000000000000001 +0 792 0001000000000010000000001111111111000000000000000000000000000001 +0 791 0001000000000010000000001111111111000000000000000000000000000001 +0 790 0001000000000010000000001111111111000000000000000000000000000001 +0 789 0001000000000010000000001111111111000000000000000000000000000001 +0 788 0001000000000010000000001111111111000000000000000000000000000001 +0 787 0001000000000010000000001111111111000000000000000000000000000001 +0 786 0001000000000010000000001111111111000000000000000000000000000001 +0 785 0001000000000010000000001111111111000000000000000000000000000001 +0 784 0001000000000010000000001111111111000000000000000000000000000001 +0 783 0001000000000010000000001111111111000000000000000000000000000001 +0 782 0001000000000010000000001111111111000000000000000000000000000001 +0 781 0001000000000010000000001111111111000000000000000000000000000001 +0 780 0001000000000010000000001111111111000000000000000000000000000001 +0 779 0001000000000010000000001111111111000000000000000000000000000001 +0 778 0001000000000010000000001111111111000000000000000000000000000001 +0 777 0001000000000010000000001111111111000000000000000000000000000001 +0 776 0001000000000010000000001111111111000000000000000000000000000001 +0 775 0001000000000010000000001111111111000000000000000000000000000001 +0 774 0001000000000010000000001111111111000000000000000000000000000001 +0 773 0001000000000010000000001111111111000000000000000000000000000001 +0 772 0001000000000010000000001111111111000000000000000000000000000001 +0 771 0001000000000010000000001111111111000000000000000000000000000001 +0 770 0001000000000010000000001111111111000000000000000000000000000001 +0 769 0001000000000010000000001111111111000000000000000000000000000001 +0 768 0001000000000010000000001111111111000000000000000000000000000001 +0 767 0001000000000010000000001111111111000000000000000000000000000001 +0 766 0001000000000010000000001111111111000000000000000000000000000001 +0 765 0001000000000010000000001111111111000000000000000000000000000001 +0 764 0001000000000010000000001111111111000000000000000000000000000001 +0 763 0001000000000010000000001111111111000000000000000000000000000001 +0 762 0001000000000010000000001111111111000000000000000000000000000001 +0 761 0001000000000010000000001111111111000000000000000000000000000001 +0 760 0001000000000010000000001111111111000000000000000000000000000001 +0 759 0001000000000010000000001111111111000000000000000000000000000001 +0 758 0001000000000010000000001111111111000000000000000000000000000001 +0 757 0001000000000010000000001111111111000000000000000000000000000001 +0 756 0001000000000010000000001111111111000000000000000000000000000001 +0 755 0001000000000010000000001111111111000000000000000000000000000001 +0 754 0001000000000010000000001111111111000000000000000000000000000001 +0 753 0001000000000010000000001111111111000000000000000000000000000001 +0 752 0001000000000010000000001111111111000000000000000000000000000001 +0 751 0001000000000010000000001111111111000000000000000000000000000001 +0 750 0001000000000010000000001111111111000000000000000000000000000001 +0 749 0001000000000010000000001111111111000000000000000000000000000001 +0 748 0001000000000010000000001111111111000000000000000000000000000001 +0 747 0001000000000010000000001111111111000000000000000000000000000001 +0 746 0001000000000010000000001111111111000000000000000000000000000001 +0 745 0001000000000010000000001111111111000000000000000000000000000001 +0 744 0001000000000010000000001111111111000000000000000000000000000001 +0 743 0001000000000010000000001111111111000000000000000000000000000001 +0 742 0001000000000010000000001111111111000000000000000000000000000001 +0 741 0001000000000010000000001111111111000000000000000000000000000001 +0 740 0001000000000010000000001111111111000000000000000000000000000001 +0 739 0001000000000010000000001111111111000000000000000000000000000001 +0 738 0001000000000010000000001111111111000000000000000000000000000001 +0 737 0001000000000010000000001111111111000000000000000000000000000001 +0 736 0001000000000010000000001111111111000000000000000000000000000001 +0 735 0001000000000010000000001111111111000000000000000000000000000001 +0 734 0001000000000010000000001111111111000000000000000000000000000001 +0 733 0001000000000010000000001111111111000000000000000000000000000001 +0 732 0001000000000010000000001111111111000000000000000000000000000001 +0 731 0001000000000010000000001111111111000000000000000000000000000001 +0 730 0001000000000010000000001111111111000000000000000000000000000001 +0 729 0001000000000010000000001111111111000000000000000000000000000001 +0 728 0001000000000010000000001111111111000000000000000000000000000001 +0 727 0001000000000010000000001111111111000000000000000000000000000001 +0 726 0001000000000010000000001111111111000000000000000000000000000001 +0 725 0001000000000010000000001111111111000000000000000000000000000001 +0 724 0001000000000010000000001111111111000000000000000000000000000001 +0 723 0001000000000010000000001111111111000000000000000000000000000001 +0 722 0001000000000010000000001111111111000000000000000000000000000001 +0 721 0001000000000010000000001111111111000000000000000000000000000001 +0 720 0001000000000010000000001111111111000000000000000000000000000001 +0 719 0001000000000010000000001111111111000000000000000000000000000001 +0 718 0001000000000010000000001111111111000000000000000000000000000001 +0 717 0001000000000010000000001111111111000000000000000000000000000001 +0 716 0001000000000010000000001111111111000000000000000000000000000001 +0 715 0001000000000010000000001111111111000000000000000000000000000001 +0 714 0001000000000010000000001111111111000000000000000000000000000001 +0 713 0001000000000010000000001111111111000000000000000000000000000001 +0 712 0001000000000010000000001111111111000000000000000000000000000001 +0 711 0001000000000010000000001111111111000000000000000000000000000001 +0 710 0001000000000010000000001111111111000000000000000000000000000001 +0 709 0001000000000010000000001111111111000000000000000000000000000001 +0 708 0001000000000010000000001111111111000000000000000000000000000001 +0 707 0001000000000010000000001111111111000000000000000000000000000001 +0 706 0001000000000010000000001111111111000000000000000000000000000001 +0 705 0001000000000010000000001111111111000000000000000000000000000001 +0 704 0001000000000010000000001111111111000000000000000000000000000001 +0 703 0001000000000010000000001111111111000000000000000000000000000001 +0 702 0001000000000010000000001111111111000000000000000000000000000001 +0 701 0001000000000010000000001111111111000000000000000000000000000001 +0 700 0001000000000010000000001111111111000000000000000000000000000001 +0 699 0001000000000010000000001111111111000000000000000000000000000001 +0 698 0001000000000010000000001111111111000000000000000000000000000001 +0 697 0001000000000010000000001111111111000000000000000000000000000001 +0 696 0001000000000010000000001111111111000000000000000000000000000001 +0 695 0001000000000010000000001111111111000000000000000000000000000001 +0 694 0001000000000010000000001111111111000000000000000000000000000001 +0 693 0001000000000010000000001111111111000000000000000000000000000001 +0 692 0001000000000010000000001111111111000000000000000000000000000001 +0 691 0001000000000010000000001111111111000000000000000000000000000001 +0 690 0001000000000010000000001111111111000000000000000000000000000001 +0 689 0001000000000010000000001111111111000000000000000000000000000001 +0 688 0001000000000010000000001111111111000000000000000000000000000001 +0 687 0001000000000010000000001111111111000000000000000000000000000001 +0 686 0001000000000010000000001111111111000000000000000000000000000001 +0 685 0001000000000010000000001111111111000000000000000000000000000001 +0 684 0001000000000010000000001111111111000000000000000000000000000001 +0 683 0001000000000010000000001111111111000000000000000000000000000001 +0 682 0001000000000010000000001111111111000000000000000000000000000001 +0 681 0001000000000010000000001111111111000000000000000000000000000001 +0 680 0001000000000010000000001111111111000000000000000000000000000001 +0 679 0001000000000010000000001111111111000000000000000000000000000001 +0 678 0001000000000010000000001111111111000000000000000000000000000001 +0 677 0001000000000010000000001111111111000000000000000000000000000001 +0 676 0001000000000010000000001111111111000000000000000000000000000001 +0 675 0001000000000010000000001111111111000000000000000000000000000001 +0 674 0001000000000010000000001111111111000000000000000000000000000001 +0 673 0001000000000010000000001111111111000000000000000000000000000001 +0 672 0001000000000010000000001111111111000000000000000000000000000001 +0 671 0001000000000010000000001111111111000000000000000000000000000001 +0 670 0001000000000010000000001111111111000000000000000000000000000001 +0 669 0001000000000010000000001111111111000000000000000000000000000001 +0 668 0001000000000010000000001111111111000000000000000000000000000001 +0 667 0001000000000010000000001111111111000000000000000000000000000001 +0 666 0001000000000010000000001111111111000000000000000000000000000001 +0 665 0001000000000010000000001111111111000000000000000000000000000001 +0 664 0001000000000010000000001111111111000000000000000000000000000001 +0 663 0001000000000010000000001111111111000000000000000000000000000001 +0 662 0001000000000010000000001111111111000000000000000000000000000001 +0 661 0001000000000010000000001111111111000000000000000000000000000001 +0 660 0001000000000010000000001111111111000000000000000000000000000001 +0 659 0001000000000010000000001111111111000000000000000000000000000001 +0 658 0001000000000010000000001111111111000000000000000000000000000001 +0 657 0001000000000010000000001111111111000000000000000000000000000001 +0 656 0001000000000010000000001111111111000000000000000000000000000001 +0 655 0001000000000010000000001111111111000000000000000000000000000001 +0 654 0001000000000010000000001111111111000000000000000000000000000001 +0 653 0001000000000010000000001111111111000000000000000000000000000001 +0 652 0001000000000010000000001111111111000000000000000000000000000001 +0 651 0001000000000010000000001111111111000000000000000000000000000001 +0 650 0001000000000010000000001111111111000000000000000000000000000001 +0 649 0001000000000010000000001111111111000000000000000000000000000001 +0 648 0001000000000010000000001111111111000000000000000000000000000001 +0 647 0001000000000010000000001111111111000000000000000000000000000001 +0 646 0001000000000010000000001111111111000000000000000000000000000001 +0 645 0001000000000010000000001111111111000000000000000000000000000001 +0 644 0001000000000010000000001111111111000000000000000000000000000001 +0 643 0001000000000010000000001111111111000000000000000000000000000001 +0 642 0001000000000010000000001111111111000000000000000000000000000001 +0 641 0001000000000010000000001111111111000000000000000000000000000001 +0 640 0001000000000010000000001111111111000000000000000000000000000001 +0 639 0001000000000010000000001111111111000000000000000000000000000001 +0 638 0001000000000010000000001111111111000000000000000000000000000001 +0 637 0001000000000010000000001111111111000000000000000000000000000001 +0 636 0001000000000010000000001111111111000000000000000000000000000001 +0 635 0001000000000010000000001111111111000000000000000000000000000001 +0 634 0001000000000010000000001111111111000000000000000000000000000001 +0 633 0001000000000010000000001111111111000000000000000000000000000001 +0 632 0001000000000010000000001111111111000000000000000000000000000001 +0 631 0001000000000010000000001111111111000000000000000000000000000001 +0 630 0001000000000010000000001111111111000000000000000000000000000001 +0 629 0001000000000010000000001111111111000000000000000000000000000001 +0 628 0001000000000010000000001111111111000000000000000000000000000001 +0 627 0001000000000010000000001111111111000000000000000000000000000001 +0 626 0001000000000010000000001111111111000000000000000000000000000001 +0 625 0001000000000010000000001111111111000000000000000000000000000001 +0 624 0001000000000010000000001111111111000000000000000000000000000001 +0 623 0001000000000010000000001111111111000000000000000000000000000001 +0 622 0001000000000010000000001111111111000000000000000000000000000001 +0 621 0001000000000010000000001111111111000000000000000000000000000001 +0 620 0001000000000010000000001111111111000000000000000000000000000001 +0 619 0001000000000010000000001111111111000000000000000000000000000001 +0 618 0001000000000010000000001111111111000000000000000000000000000001 +0 617 0001000000000010000000001111111111000000000000000000000000000001 +0 616 0001000000000010000000001111111111000000000000000000000000000001 +0 615 0001000000000010000000001111111111000000000000000000000000000001 +0 614 0001000000000010000000001111111111000000000000000000000000000001 +0 613 0001000000000010000000001111111111000000000000000000000000000001 +0 612 0001000000000010000000001111111111000000000000000000000000000001 +0 611 0001000000000010000000001111111111000000000000000000000000000001 +0 610 0001000000000010000000001111111111000000000000000000000000000001 +0 609 0001000000000010000000001111111111000000000000000000000000000001 +0 608 0001000000000010000000001111111111000000000000000000000000000001 +0 607 0001000000000010000000001111111111000000000000000000000000000001 +0 606 0001000000000010000000001111111111000000000000000000000000000001 +0 605 0001000000000010000000001111111111000000000000000000000000000001 +0 604 0001000000000010000000001111111111000000000000000000000000000001 +0 603 0001000000000010000000001111111111000000000000000000000000000001 +0 602 0001000000000010000000001111111111000000000000000000000000000001 +0 601 0001000000000010000000001111111111000000000000000000000000000001 +0 600 0001000000000010000000001111111111000000000000000000000000000001 +0 599 0001000000000010000000001111111111000000000000000000000000000001 +0 598 0001000000000010000000001111111111000000000000000000000000000001 +0 597 0001000000000010000000001111111111000000000000000000000000000001 +0 596 0001000000000010000000001111111111000000000000000000000000000001 +0 595 0001000000000010000000001111111111000000000000000000000000000001 +0 594 0001000000000010000000001111111111000000000000000000000000000001 +0 593 0001000000000010000000001111111111000000000000000000000000000001 +0 592 0001000000000010000000001111111111000000000000000000000000000001 +0 591 0001000000000010000000001111111111000000000000000000000000000001 +0 590 0001000000000010000000001111111111000000000000000000000000000001 +0 589 0001000000000010000000001111111111000000000000000000000000000001 +0 588 0001000000000010000000001111111111000000000000000000000000000001 +0 587 0001000000000010000000001111111111000000000000000000000000000001 +0 586 0001000000000010000000001111111111000000000000000000000000000001 +0 585 0001000000000010000000001111111111000000000000000000000000000001 +0 584 0001000000000010000000001111111111000000000000000000000000000001 +0 583 0001000000000010000000001111111111000000000000000000000000000001 +0 582 0001000000000010000000001111111111000000000000000000000000000001 +0 581 0001000000000010000000001111111111000000000000000000000000000001 +0 580 0001000000000010000000001111111111000000000000000000000000000001 +0 579 0001000000000010000000001111111111000000000000000000000000000001 +0 578 0001000000000010000000001111111111000000000000000000000000000001 +0 577 0001000000000010000000001111111111000000000000000000000000000001 +0 576 0001000000000010000000001111111111000000000000000000000000000001 +0 575 0001000000000010000000001111111111000000000000000000000000000001 +0 574 0001000000000010000000001111111111000000000000000000000000000001 +0 573 0001000000000010000000001111111111000000000000000000000000000001 +0 572 0001000000000010000000001111111111000000000000000000000000000001 +0 571 0001000000000010000000001111111111000000000000000000000000000001 +0 570 0001000000000010000000001111111111000000000000000000000000000001 +0 569 0001000000000010000000001111111111000000000000000000000000000001 +0 568 0001000000000010000000001111111111000000000000000000000000000001 +0 567 0001000000000010000000001111111111000000000000000000000000000001 +0 566 0001000000000010000000001111111111000000000000000000000000000001 +0 565 0001000000000010000000001111111111000000000000000000000000000001 +0 564 0001000000000010000000001111111111000000000000000000000000000001 +0 563 0001000000000010000000001111111111000000000000000000000000000001 +0 562 0001000000000010000000001111111111000000000000000000000000000001 +0 561 0001000000000010000000001111111111000000000000000000000000000001 +0 560 0001000000000010000000001111111111000000000000000000000000000001 +0 559 0001000000000010000000001111111111000000000000000000000000000001 +0 558 0001000000000010000000001111111111000000000000000000000000000001 +0 557 0001000000000010000000001111111111000000000000000000000000000001 +0 556 0001000000000010000000001111111111000000000000000000000000000001 +0 555 0001000000000010000000001111111111000000000000000000000000000001 +0 554 0001000000000010000000001111111111000000000000000000000000000001 +0 553 0001000000000010000000001111111111000000000000000000000000000001 +0 552 0001000000000010000000001111111111000000000000000000000000000001 +0 551 0001000000000010000000001111111111000000000000000000000000000001 +0 550 0001000000000010000000001111111111000000000000000000000000000001 +0 549 0001000000000010000000001111111111000000000000000000000000000001 +0 548 0001000000000010000000001111111111000000000000000000000000000001 +0 547 0001000000000010000000001111111111000000000000000000000000000001 +0 546 0001000000000010000000001111111111000000000000000000000000000001 +0 545 0001000000000010000000001111111111000000000000000000000000000001 +0 544 0001000000000010000000001111111111000000000000000000000000000001 +0 543 0001000000000010000000001111111111000000000000000000000000000001 +0 542 0001000000000010000000001111111111000000000000000000000000000001 +0 541 0001000000000010000000001111111111000000000000000000000000000001 +0 540 0001000000000010000000001111111111000000000000000000000000000001 +0 539 0001000000000010000000001111111111000000000000000000000000000001 +0 538 0001000000000010000000001111111111000000000000000000000000000001 +0 537 0001000000000010000000001111111111000000000000000000000000000001 +0 536 0001000000000010000000001111111111000000000000000000000000000001 +0 535 0001000000000010000000001111111111000000000000000000000000000001 +0 534 0001000000000010000000001111111111000000000000000000000000000001 +0 533 0001000000000010000000001111111111000000000000000000000000000001 +0 532 0001000000000010000000001111111111000000000000000000000000000001 +0 531 0001000000000010000000001111111111000000000000000000000000000001 +0 530 0001000000000010000000001111111111000000000000000000000000000001 +0 529 0001000000000010000000001111111111000000000000000000000000000001 +0 528 0001000000000010000000001111111111000000000000000000000000000001 +0 527 0001000000000010000000001111111111000000000000000000000000000001 +0 526 0001000000000010000000001111111111000000000000000000000000000001 +0 525 0001000000000010000000001111111111000000000000000000000000000001 +0 524 0001000000000010000000001111111111000000000000000000000000000001 +0 523 0001000000000010000000001111111111000000000000000000000000000001 +0 522 0001000000000010000000001111111111000000000000000000000000000001 +0 521 0001000000000010000000001111111111000000000000000000000000000001 +0 520 0001000000000010000000001111111111000000000000000000000000000001 +0 519 0001000000000010000000001111111111000000000000000000000000000001 +0 518 0001000000000010000000001111111111000000000000000000000000000001 +0 517 0001000000000010000000001111111111000000000000000000000000000001 +0 516 0001000000000010000000001111111111000000000000000000000000000001 +0 515 0001000000000010000000001111111111000000000000000000000000000001 +0 514 0001000000000010000000001111111111000000000000000000000000000001 +0 513 0001000000000010000000001111111111000000000000000000000000000001 +0 512 0001000000000010000000001111111111000000000000000000000000000001 +0 511 0001000000000010000000001111111111000000000000000000000000000001 +0 510 0001000000000010000000001111111111000000000000000000000000000001 +0 509 0001000000000010000000001111111111000000000000000000000000000001 +0 508 0001000000000010000000001111111111000000000000000000000000000001 +0 507 0001000000000010000000001111111111000000000000000000000000000001 +0 506 0001000000000010000000001111111111000000000000000000000000000001 +0 505 0001000000000010000000001111111111000000000000000000000000000001 +0 504 0001000000000010000000001111111111000000000000000000000000000001 +0 503 0001000000000010000000001111111111000000000000000000000000000001 +0 502 0001000000000010000000001111111111000000000000000000000000000001 +0 501 0001000000000010000000001111111111000000000000000000000000000001 +0 500 0001000000000010000000001111111111000000000000000000000000000001 +0 499 0001000000000010000000001111111111000000000000000000000000000001 +0 498 0001000000000010000000001111111111000000000000000000000000000001 +0 497 0001000000000010000000001111111111000000000000000000000000000001 +0 496 0001000000000010000000001111111111000000000000000000000000000001 +0 495 0001000000000010000000001111111111000000000000000000000000000001 +0 494 0001000000000010000000001111111111000000000000000000000000000001 +0 493 0001000000000010000000001111111111000000000000000000000000000001 +0 492 0001000000000010000000001111111111000000000000000000000000000001 +0 491 0001000000000010000000001111111111000000000000000000000000000001 +0 490 0001000000000010000000001111111111000000000000000000000000000001 +0 489 0001000000000010000000001111111111000000000000000000000000000001 +0 488 0001000000000010000000001111111111000000000000000000000000000001 +0 487 0001000000000010000000001111111111000000000000000000000000000001 +0 486 0001000000000010000000001111111111000000000000000000000000000001 +0 485 0001000000000010000000001111111111000000000000000000000000000001 +0 484 0001000000000010000000001111111111000000000000000000000000000001 +0 483 0001000000000010000000001111111111000000000000000000000000000001 +0 482 0001000000000010000000001111111111000000000000000000000000000001 +0 481 0001000000000010000000001111111111000000000000000000000000000001 +0 480 0001000000000010000000001111111111000000000000000000000000000001 +0 479 0001000000000010000000001111111111000000000000000000000000000001 +0 478 0001000000000010000000001111111111000000000000000000000000000001 +0 477 0001000000000010000000001111111111000000000000000000000000000001 +0 476 0001000000000010000000001111111111000000000000000000000000000001 +0 475 0001000000000010000000001111111111000000000000000000000000000001 +0 474 0001000000000010000000001111111111000000000000000000000000000001 +0 473 0001000000000010000000001111111111000000000000000000000000000001 +0 472 0001000000000010000000001111111111000000000000000000000000000001 +0 471 0001000000000010000000001111111111000000000000000000000000000001 +0 470 0001000000000010000000001111111111000000000000000000000000000001 +0 469 0001000000000010000000001111111111000000000000000000000000000001 +0 468 0001000000000010000000001111111111000000000000000000000000000001 +0 467 0001000000000010000000001111111111000000000000000000000000000001 +0 466 0001000000000010000000001111111111000000000000000000000000000001 +0 465 0001000000000010000000001111111111000000000000000000000000000001 +0 464 0001000000000010000000001111111111000000000000000000000000000001 +0 463 0001000000000010000000001111111111000000000000000000000000000001 +0 462 0001000000000010000000001111111111000000000000000000000000000001 +0 461 0001000000000010000000001111111111000000000000000000000000000001 +0 460 0001000000000010000000001111111111000000000000000000000000000001 +0 459 0001000000000010000000001111111111000000000000000000000000000001 +0 458 0001000000000010000000001111111111000000000000000000000000000001 +0 457 0001000000000010000000001111111111000000000000000000000000000001 +0 456 0001000000000010000000001111111111000000000000000000000000000001 +0 455 0001000000000010000000001111111111000000000000000000000000000001 +0 454 0001000000000010000000001111111111000000000000000000000000000001 +0 453 0001000000000010000000001111111111000000000000000000000000000001 +0 452 0001000000000010000000001111111111000000000000000000000000000001 +0 451 0001000000000010000000001111111111000000000000000000000000000001 +0 450 0001000000000010000000001111111111000000000000000000000000000001 +0 449 0001000000000010000000001111111111000000000000000000000000000001 +0 448 0001000000000010000000001111111111000000000000000000000000000001 +0 447 0001000000000010000000001111111111000000000000000000000000000001 +0 446 0001000000000010000000001111111111000000000000000000000000000001 +0 445 0001000000000010000000001111111111000000000000000000000000000001 +0 444 0001000000000010000000001111111111000000000000000000000000000001 +0 443 0001000000000010000000001111111111000000000000000000000000000001 +0 442 0001000000000010000000001111111111000000000000000000000000000001 +0 441 0001000000000010000000001111111111000000000000000000000000000001 +0 440 0001000000000010000000001111111111000000000000000000000000000001 +0 439 0001000000000010000000001111111111000000000000000000000000000001 +0 438 0001000000000010000000001111111111000000000000000000000000000001 +0 437 0001000000000010000000001111111111000000000000000000000000000001 +0 436 0001000000000010000000001111111111000000000000000000000000000001 +0 435 0001000000000010000000001111111111000000000000000000000000000001 +0 434 0001000000000010000000001111111111000000000000000000000000000001 +0 433 0001000000000010000000001111111111000000000000000000000000000001 +0 432 0001000000000010000000001111111111000000000000000000000000000001 +0 431 0001000000000010000000001111111111000000000000000000000000000001 +0 430 0001000000000010000000001111111111000000000000000000000000000001 +0 429 0001000000000010000000001111111111000000000000000000000000000001 +0 428 0001000000000010000000001111111111000000000000000000000000000001 +0 427 0001000000000010000000001111111111000000000000000000000000000001 +0 426 0001000000000010000000001111111111000000000000000000000000000001 +0 425 0001000000000010000000001111111111000000000000000000000000000001 +0 424 0001000000000010000000001111111111000000000000000000000000000001 +0 423 0001000000000010000000001111111111000000000000000000000000000001 +0 422 0001000000000010000000001111111111000000000000000000000000000001 +0 421 0001000000000010000000001111111111000000000000000000000000000001 +0 420 0001000000000010000000001111111111000000000000000000000000000001 +0 419 0001000000000010000000001111111111000000000000000000000000000001 +0 418 0001000000000010000000001111111111000000000000000000000000000001 +0 417 0001000000000010000000001111111111000000000000000000000000000001 +0 416 0001000000000010000000001111111111000000000000000000000000000001 +0 415 0001000000000010000000001111111111000000000000000000000000000001 +0 414 0001000000000010000000001111111111000000000000000000000000000001 +0 413 0001000000000010000000001111111111000000000000000000000000000001 +0 412 0001000000000010000000001111111111000000000000000000000000000001 +0 411 0001000000000010000000001111111111000000000000000000000000000001 +0 410 0001000000000010000000001111111111000000000000000000000000000001 +0 409 0001000000000010000000001111111111000000000000000000000000000001 +0 408 0001000000000010000000001111111111000000000000000000000000000001 +0 407 0001000000000010000000001111111111000000000000000000000000000001 +0 406 0001000000000010000000001111111111000000000000000000000000000001 +0 405 0001000000000010000000001111111111000000000000000000000000000001 +0 404 0001000000000010000000001111111111000000000000000000000000000001 +0 403 0001000000000010000000001111111111000000000000000000000000000001 +0 402 0001000000000010000000001111111111000000000000000000000000000001 +0 401 0001000000000010000000001111111111000000000000000000000000000001 +0 400 0001000000000010000000001111111111000000000000000000000000000001 +0 399 0001000000000010000000001111111111000000000000000000000000000001 +0 398 0001000000000010000000001111111111000000000000000000000000000001 +0 397 0001000000000010000000001111111111000000000000000000000000000001 +0 396 0001000000000010000000001111111111000000000000000000000000000001 +0 395 0001000000000010000000001111111111000000000000000000000000000001 +0 394 0001000000000010000000001111111111000000000000000000000000000001 +0 393 0001000000000010000000001111111111000000000000000000000000000001 +0 392 0001000000000010000000001111111111000000000000000000000000000001 +0 391 0001000000000010000000001111111111000000000000000000000000000001 +0 390 0001000000000010000000001111111111000000000000000000000000000001 +0 389 0001000000000010000000001111111111000000000000000000000000000001 +0 388 0001000000000010000000001111111111000000000000000000000000000001 +0 387 0001000000000010000000001111111111000000000000000000000000000001 +0 386 0001000000000010000000001111111111000000000000000000000000000001 +0 385 0001000000000010000000001111111111000000000000000000000000000001 +0 384 0001000000000010000000001111111111000000000000000000000000000001 +0 383 0001000000000010000000001111111111000000000000000000000000000001 +0 382 0001000000000010000000001111111111000000000000000000000000000001 +0 381 0001000000000010000000001111111111000000000000000000000000000001 +0 380 0001000000000010000000001111111111000000000000000000000000000001 +0 379 0001000000000010000000001111111111000000000000000000000000000001 +0 378 0001000000000010000000001111111111000000000000000000000000000001 +0 377 0001000000000010000000001111111111000000000000000000000000000001 +0 376 0001000000000010000000001111111111000000000000000000000000000001 +0 375 0001000000000010000000001111111111000000000000000000000000000001 +0 374 0001000000000010000000001111111111000000000000000000000000000001 +0 373 0001000000000010000000001111111111000000000000000000000000000001 +0 372 0001000000000010000000001111111111000000000000000000000000000001 +0 371 0001000000000010000000001111111111000000000000000000000000000001 +0 370 0001000000000010000000001111111111000000000000000000000000000001 +0 369 0001000000000010000000001111111111000000000000000000000000000001 +0 368 0001000000000010000000001111111111000000000000000000000000000001 +0 367 0001000000000010000000001111111111000000000000000000000000000001 +0 366 0001000000000010000000001111111111000000000000000000000000000001 +0 365 0001000000000010000000001111111111000000000000000000000000000001 +0 364 0001000000000010000000001111111111000000000000000000000000000001 +0 363 0001000000000010000000001111111111000000000000000000000000000001 +0 362 0001000000000010000000001111111111000000000000000000000000000001 +0 361 0001000000000010000000001111111111000000000000000000000000000001 +0 360 0001000000000010000000001111111111000000000000000000000000000001 +0 359 0001000000000010000000001111111111000000000000000000000000000001 +0 358 0001000000000010000000001111111111000000000000000000000000000001 +0 357 0001000000000010000000001111111111000000000000000000000000000001 +0 356 0001000000000010000000001111111111000000000000000000000000000001 +0 355 0001000000000010000000001111111111000000000000000000000000000001 +0 354 0001000000000010000000001111111111000000000000000000000000000001 +0 353 0001000000000010000000001111111111000000000000000000000000000001 +0 352 0001000000000010000000001111111111000000000000000000000000000001 +0 351 0001000000000010000000001111111111000000000000000000000000000001 +0 350 0001000000000010000000001111111111000000000000000000000000000001 +0 349 0001000000000010000000001111111111000000000000000000000000000001 +0 348 0001000000000010000000001111111111000000000000000000000000000001 +0 347 0001000000000010000000001111111111000000000000000000000000000001 +0 346 0001000000000010000000001111111111000000000000000000000000000001 +0 345 0001000000000010000000001111111111000000000000000000000000000001 +0 344 0001000000000010000000001111111111000000000000000000000000000001 +0 343 0001000000000010000000001111111111000000000000000000000000000001 +0 342 0001000000000010000000001111111111000000000000000000000000000001 +0 341 0001000000000010000000001111111111000000000000000000000000000001 +0 340 0001000000000010000000001111111111000000000000000000000000000001 +0 339 0001000000000010000000001111111111000000000000000000000000000001 +0 338 0001000000000010000000001111111111000000000000000000000000000001 +0 337 0001000000000010000000001111111111000000000000000000000000000001 +0 336 0001000000000010000000001111111111000000000000000000000000000001 +0 335 0001000000000010000000001111111111000000000000000000000000000001 +0 334 0001000000000010000000001111111111000000000000000000000000000001 +0 333 0001000000000010000000001111111111000000000000000000000000000001 +0 332 0001000000000010000000001111111111000000000000000000000000000001 +0 331 0001000000000010000000001111111111000000000000000000000000000001 +0 330 0001000000000010000000001111111111000000000000000000000000000001 +0 329 0001000000000010000000001111111111000000000000000000000000000001 +0 328 0001000000000010000000001111111111000000000000000000000000000001 +0 327 0001000000000010000000001111111111000000000000000000000000000001 +0 326 0001000000000010000000001111111111000000000000000000000000000001 +0 325 0001000000000010000000001111111111000000000000000000000000000001 +0 324 0001000000000010000000001111111111000000000000000000000000000001 +0 323 0001000000000010000000001111111111000000000000000000000000000001 +0 322 0001000000000010000000001111111111000000000000000000000000000001 +0 321 0001000000000010000000001111111111000000000000000000000000000001 +0 320 0001000000000010000000001111111111000000000000000000000000000001 +0 319 0001000000000010000000001111111111000000000000000000000000000001 +0 318 0001000000000010000000001111111111000000000000000000000000000001 +0 317 0001000000000010000000001111111111000000000000000000000000000001 +0 316 0001000000000010000000001111111111000000000000000000000000000001 +0 315 0001000000000010000000001111111111000000000000000000000000000001 +0 314 0001000000000010000000001111111111000000000000000000000000000001 +0 313 0001000000000010000000001111111111000000000000000000000000000001 +0 312 0001000000000010000000001111111111000000000000000000000000000001 +0 311 0001000000000010000000001111111111000000000000000000000000000001 +0 310 0001000000000010000000001111111111000000000000000000000000000001 +0 309 0001000000000010000000001111111111000000000000000000000000000001 +0 308 0001000000000010000000001111111111000000000000000000000000000001 +0 307 0001000000000010000000001111111111000000000000000000000000000001 +0 306 0001000000000010000000001111111111000000000000000000000000000001 +0 305 0001000000000010000000001111111111000000000000000000000000000001 +0 304 0001000000000010000000001111111111000000000000000000000000000001 +0 303 0001000000000010000000001111111111000000000000000000000000000001 +0 302 0001000000000010000000001111111111000000000000000000000000000001 +0 301 0001000000000010000000001111111111000000000000000000000000000001 +0 300 0001000000000010000000001111111111000000000000000000000000000001 +0 299 0001000000000010000000001111111111000000000000000000000000000001 +0 298 0001000000000010000000001111111111000000000000000000000000000001 +0 297 0001000000000010000000001111111111000000000000000000000000000001 +0 296 0001000000000010000000001111111111000000000000000000000000000001 +0 295 0001000000000010000000001111111111000000000000000000000000000001 +0 294 0001000000000010000000001111111111000000000000000000000000000001 +0 293 0001000000000010000000001111111111000000000000000000000000000001 +0 292 0001000000000010000000001111111111000000000000000000000000000001 +0 291 0001000000000010000000001111111111000000000000000000000000000001 +0 290 0001000000000010000000001111111111000000000000000000000000000001 +0 289 0001000000000010000000001111111111000000000000000000000000000001 +0 288 0001000000000010000000001111111111000000000000000000000000000001 +0 287 0001000000000010000000001111111111000000000000000000000000000001 +0 286 0001000000000010000000001111111111000000000000000000000000000001 +0 285 0001000000000010000000001111111111000000000000000000000000000001 +0 284 0001000000000010000000001111111111000000000000000000000000000001 +0 283 0001000000000010000000001111111111000000000000000000000000000001 +0 282 0001000000000010000000001111111111000000000000000000000000000001 +0 281 0001000000000010000000001111111111000000000000000000000000000001 +0 280 0001000000000010000000001111111111000000000000000000000000000001 +0 279 0001000000000010000000001111111111000000000000000000000000000001 +0 278 0001000000000010000000001111111111000000000000000000000000000001 +0 277 0001000000000010000000001111111111000000000000000000000000000001 +0 276 0001000000000010000000001111111111000000000000000000000000000001 +0 275 0001000000000010000000001111111111000000000000000000000000000001 +0 274 0001000000000010000000001111111111000000000000000000000000000001 +0 273 0001000000000010000000001111111111000000000000000000000000000001 +0 272 0001000000000010000000001111111111000000000000000000000000000001 +0 271 0001000000000010000000001111111111000000000000000000000000000001 +0 270 0001000000000010000000001111111111000000000000000000000000000001 +0 269 0001000000000010000000001111111111000000000000000000000000000001 +0 268 0001000000000010000000001111111111000000000000000000000000000001 +0 267 0001000000000010000000001111111111000000000000000000000000000001 +0 266 0001000000000010000000001111111111000000000000000000000000000001 +0 265 0001000000000010000000001111111111000000000000000000000000000001 +0 264 0001000000000010000000001111111111000000000000000000000000000001 +0 263 0001000000000010000000001111111111000000000000000000000000000001 +0 262 0001000000000010000000001111111111000000000000000000000000000001 +0 261 0001000000000010000000001111111111000000000000000000000000000001 +0 260 0001000000000010000000001111111111000000000000000000000000000001 +0 259 0001000000000010000000001111111111000000000000000000000000000001 +0 258 0001000000000010000000001111111111000000000000000000000000000001 +0 257 0001000000000010000000001111111111000000000000000000000000000001 +0 256 0001000000000010000000001111111111000000000000000000000000000001 +0 255 0001000000000010000000001111111111000000000000000000000000000001 +0 254 0001000000000010000000001111111111000000000000000000000000000001 +0 253 0001000000000010000000001111111111000000000000000000000000000001 +0 252 0001000000000010000000001111111111000000000000000000000000000001 +0 251 0001000000000010000000001111111111000000000000000000000000000001 +0 250 0001000000000010000000001111111111000000000000000000000000000001 +0 249 0001000000000010000000001111111111000000000000000000000000000001 +0 248 0001000000000010000000001111111111000000000000000000000000000001 +0 247 0001000000000010000000001111111111000000000000000000000000000001 +0 246 0001000000000010000000001111111111000000000000000000000000000001 +0 245 0001000000000010000000001111111111000000000000000000000000000001 +0 244 0001000000000010000000001111111111000000000000000000000000000001 +0 243 0001000000000010000000001111111111000000000000000000000000000001 +0 242 0001000000000010000000001111111111000000000000000000000000000001 +0 241 0001000000000010000000001111111111000000000000000000000000000001 +0 240 0001000000000010000000001111111111000000000000000000000000000001 +0 239 0001000000000010000000001111111111000000000000000000000000000001 +0 238 0001000000000010000000001111111111000000000000000000000000000001 +0 237 0001000000000010000000001111111111000000000000000000000000000001 +0 236 0001000000000010000000001111111111000000000000000000000000000001 +0 235 0001000000000010000000001111111111000000000000000000000000000001 +0 234 0001000000000010000000001111111111000000000000000000000000000001 +0 233 0001000000000010000000001111111111000000000000000000000000000001 +0 232 0001000000000010000000001111111111000000000000000000000000000001 +0 231 0001000000000010000000001111111111000000000000000000000000000001 +0 230 0001000000000010000000001111111111000000000000000000000000000001 +0 229 0001000000000010000000001111111111000000000000000000000000000001 +0 228 0001000000000010000000001111111111000000000000000000000000000001 +0 227 0001000000000010000000001111111111000000000000000000000000000001 +0 226 0001000000000010000000001111111111000000000000000000000000000001 +0 225 0001000000000010000000001111111111000000000000000000000000000001 +0 224 0001000000000010000000001111111111000000000000000000000000000001 +0 223 0001000000000010000000001111111111000000000000000000000000000001 +0 222 0001000000000010000000001111111111000000000000000000000000000001 +0 221 0001000000000010000000001111111111000000000000000000000000000001 +0 220 0001000000000010000000001111111111000000000000000000000000000001 +0 219 0001000000000010000000001111111111000000000000000000000000000001 +0 218 0001000000000010000000001111111111000000000000000000000000000001 +0 217 0001000000000010000000001111111111000000000000000000000000000001 +0 216 0001000000000010000000001111111111000000000000000000000000000001 +0 215 0001000000000010000000001111111111000000000000000000000000000001 +0 214 0001000000000010000000001111111111000000000000000000000000000001 +0 213 0001000000000010000000001111111111000000000000000000000000000001 +0 212 0001000000000010000000001111111111000000000000000000000000000001 +0 211 0001000000000010000000001111111111000000000000000000000000000001 +0 210 0001000000000010000000001111111111000000000000000000000000000001 +0 209 0001000000000010000000001111111111000000000000000000000000000001 +0 208 0001000000000010000000001111111111000000000000000000000000000001 +0 207 0001000000000010000000001111111111000000000000000000000000000001 +0 206 0001000000000010000000001111111111000000000000000000000000000001 +0 205 0001000000000010000000001111111111000000000000000000000000000001 +0 204 0001000000000010000000001111111111000000000000000000000000000001 +0 203 0001000000000010000000001111111111000000000000000000000000000001 +0 202 0001000000000010000000001111111111000000000000000000000000000001 +0 201 0001000000000010000000001111111111000000000000000000000000000001 +0 200 0001000000000010000000001111111111000000000000000000000000000001 +0 199 0001000000000010000000001111111111000000000000000000000000000001 +0 198 0001000000000010000000001111111111000000000000000000000000000001 +0 197 0001000000000010000000001111111111000000000000000000000000000001 +0 196 0001000000000010000000001111111111000000000000000000000000000001 +0 195 0001000000000010000000001111111111000000000000000000000000000001 +0 194 0001000000000010000000001111111111000000000000000000000000000001 +0 193 0001000000000010000000001111111111000000000000000000000000000001 +0 192 0001000000000010000000001111111111000000000000000000000000000001 +0 191 0001000000000010000000001111111111000000000000000000000000000001 +0 190 0001000000000010000000001111111111000000000000000000000000000001 +0 189 0001000000000010000000001111111111000000000000000000000000000001 +0 188 0001000000000010000000001111111111000000000000000000000000000001 +0 187 0001000000000010000000001111111111000000000000000000000000000001 +0 186 0001000000000010000000001111111111000000000000000000000000000001 +0 185 0001000000000010000000001111111111000000000000000000000000000001 +0 184 0001000000000010000000001111111111000000000000000000000000000001 +0 183 0001000000000010000000001111111111000000000000000000000000000001 +0 182 0001000000000010000000001111111111000000000000000000000000000001 +0 181 0001000000000010000000001111111111000000000000000000000000000001 +0 180 0001000000000010000000001111111111000000000000000000000000000001 +0 179 0001000000000010000000001111111111000000000000000000000000000001 +0 178 0001000000000010000000001111111111000000000000000000000000000001 +0 177 0001000000000010000000001111111111000000000000000000000000000001 +0 176 0001000000000010000000001111111111000000000000000000000000000001 +0 175 0001000000000010000000001111111111000000000000000000000000000001 +0 174 0001000000000010000000001111111111000000000000000000000000000001 +0 173 0001000000000010000000001111111111000000000000000000000000000001 +0 172 0001000000000010000000001111111111000000000000000000000000000001 +0 171 0001000000000010000000001111111111000000000000000000000000000001 +0 170 0001000000000010000000001111111111000000000000000000000000000001 +0 169 0001000000000010000000001111111111000000000000000000000000000001 +0 168 0001000000000010000000001111111111000000000000000000000000000001 +0 167 0001000000000010000000001111111111000000000000000000000000000001 +0 166 0001000000000010000000001111111111000000000000000000000000000001 +0 165 0001000000000010000000001111111111000000000000000000000000000001 +0 164 0001000000000010000000001111111111000000000000000000000000000001 +0 163 0001000000000010000000001111111111000000000000000000000000000001 +0 162 0001000000000010000000001111111111000000000000000000000000000001 +0 161 0001000000000010000000001111111111000000000000000000000000000001 +0 160 0001000000000010000000001111111111000000000000000000000000000001 +0 159 0001000000000010000000001111111111000000000000000000000000000001 +0 158 0001000000000010000000001111111111000000000000000000000000000001 +0 157 0001000000000010000000001111111111000000000000000000000000000001 +0 156 0001000000000010000000001111111111000000000000000000000000000001 +0 155 0001000000000010000000001111111111000000000000000000000000000001 +0 154 0001000000000010000000001111111111000000000000000000000000000001 +0 153 0001000000000010000000001111111111000000000000000000000000000001 +0 152 0001000000000010000000001111111111000000000000000000000000000001 +0 151 0001000000000010000000001111111111000000000000000000000000000001 +0 150 0001000000000010000000001111111111000000000000000000000000000001 +0 149 0001000000000010000000001111111111000000000000000000000000000001 +0 148 0001000000000010000000001111111111000000000000000000000000000001 +0 147 0001000000000010000000001111111111000000000000000000000000000001 +0 146 0001000000000010000000001111111111000000000000000000000000000001 +0 145 0001000000000010000000001111111111000000000000000000000000000001 +0 144 0001000000000010000000001111111111000000000000000000000000000001 +0 143 0001000000000010000000001111111111000000000000000000000000000001 +0 142 0001000000000010000000001111111111000000000000000000000000000001 +0 141 0001000000000010000000001111111111000000000000000000000000000001 +0 140 0001000000000010000000001111111111000000000000000000000000000001 +0 139 0001000000000010000000001111111111000000000000000000000000000001 +0 138 0001000000000010000000001111111111000000000000000000000000000001 +0 137 0001000000000010000000001111111111000000000000000000000000000001 +0 136 0001000000000010000000001111111111000000000000000000000000000001 +0 135 0001000000000010000000001111111111000000000000000000000000000001 +0 134 0001000000000010000000001111111111000000000000000000000000000001 +0 133 0001000000000010000000001111111111000000000000000000000000000001 +0 132 0001000000000010000000001111111111000000000000000000000000000001 +0 131 0001000000000010000000001111111111000000000000000000000000000001 +0 130 0001000000000010000000001111111111000000000000000000000000000001 +0 129 0001000000000010000000001111111111000000000000000000000000000001 +0 128 0001000000000010000000001111111111000000000000000000000000000001 +0 127 0001000000000010000000001111111111000000000000000000000000000001 +0 126 0001000000000010000000001111111111000000000000000000000000000001 +0 125 0001000000000010000000001111111111000000000000000000000000000001 +0 124 0001000000000010000000001111111111000000000000000000000000000001 +0 123 0001000000000010000000001111111111000000000000000000000000000001 +0 122 0001000000000010000000001111111111000000000000000000000000000001 +0 121 0001000000000010000000001111111111000000000000000000000000000001 +0 120 0001000000000010000000001111111111000000000000000000000000000001 +0 119 0001000000000010000000001111111111000000000000000000000000000001 +0 118 0001000000000010000000001111111111000000000000000000000000000001 +0 117 0001000000000010000000001111111111000000000000000000000000000001 +0 116 0001000000000010000000001111111111000000000000000000000000000001 +0 115 0001000000000010000000001111111111000000000000000000000000000001 +0 114 0001000000000010000000001111111111000000000000000000000000000001 +0 113 0001000000000010000000001111111111000000000000000000000000000001 +0 112 0001000000000010000000001111111111000000000000000000000000000001 +0 111 0001000000000010000000001111111111000000000000000000000000000001 +0 110 0001000000000010000000001111111111000000000000000000000000000001 +0 109 0001000000000010000000001111111111000000000000000000000000000001 +0 108 0001000000000010000000001111111111000000000000000000000000000001 +0 107 0001000000000010000000001111111111000000000000000000000000000001 +0 106 0001000000000010000000001111111111000000000000000000000000000001 +0 105 0001000000000010000000001111111111000000000000000000000000000001 +0 104 0001000000000010000000001111111111000000000000000000000000000001 +0 103 0001000000000010000000001111111111000000000000000000000000000001 +0 102 0001000000000010000000001111111111000000000000000000000000000001 +0 101 0001000000000010000000001111111111000000000000000000000000000001 +0 100 0001000000000010000000001111111111000000000000000000000000000001 +0 99 0001000000000010000000001111111111000000000000000000000000000001 +0 98 0001000000000010000000001111111111000000000000000000000000000001 +0 97 0001000000000010000000001111111111000000000000000000000000000001 +0 96 0001000000000010000000001111111111000000000000000000000000000001 +0 95 0001000000000010000000001111111111000000000000000000000000000001 +0 94 0001000000000010000000001111111111000000000000000000000000000001 +0 93 0001000000000010000000001111111111000000000000000000000000000001 +0 92 0001000000000010000000001111111111000000000000000000000000000001 +0 91 0001000000000010000000001111111111000000000000000000000000000001 +0 90 0001000000000010000000001111111111000000000000000000000000000001 +0 89 0001000000000010000000001111111111000000000000000000000000000001 +0 88 0001000000000010000000001111111111000000000000000000000000000001 +0 87 0001000000000010000000001111111111000000000000000000000000000001 +0 86 0001000000000010000000001111111111000000000000000000000000000001 +0 85 0001000000000010000000001111111111000000000000000000000000000001 +0 84 0001000000000010000000001111111111000000000000000000000000000001 +0 83 0001000000000010000000001111111111000000000000000000000000000001 +0 82 0001000000000010000000001111111111000000000000000000000000000001 +0 81 0001000000000010000000001111111111000000000000000000000000000001 +0 80 0001000000000010000000001111111111000000000000000000000000000001 +0 79 0001000000000010000000001111111111000000000000000000000000000001 +0 78 0001000000000010000000001111111111000000000000000000000000000001 +0 77 0001000000000010000000001111111111000000000000000000000000000001 +0 76 0001000000000010000000001111111111000000000000000000000000000001 +0 75 0001000000000010000000001111111111000000000000000000000000000001 +0 74 0001000000000010000000001111111111000000000000000000000000000001 +0 73 0001000000000010000000001111111111000000000000000000000000000001 +0 72 0001000000000010000000001111111111000000000000000000000000000001 +0 71 0001000000000010000000001111111111000000000000000000000000000001 +0 70 0001000000000010000000001111111111000000000000000000000000000001 +0 69 0001000000000010000000001111111111000000000000000000000000000001 +0 68 0001000000000010000000001111111111000000000000000000000000000001 +0 67 0001000000000010000000001111111111000000000000000000000000000001 +0 66 0001000000000010000000001111111111000000000000000000000000000001 +0 65 0001000000000010000000001111111111000000000000000000000000000001 +0 64 0001000000000010000000001111111111000000000000000000000000000001 +0 63 0001000000000010000000001111111111000000000000000000000000000001 +0 62 0001000000000010000000001111111111000000000000000000000000000001 +0 61 0001000000000010000000001111111111000000000000000000000000000001 +0 60 0001000000000010000000001111111111000000000000000000000000000001 +0 59 0001000000000010000000001111111111000000000000000000000000000001 +0 58 0001000000000010000000001111111111000000000000000000000000000001 +0 57 0001000000000010000000001111111111000000000000000000000000000001 +0 56 0001000000000010000000001111111111000000000000000000000000000001 +0 55 0001000000000010000000001111111111000000000000000000000000000001 +0 54 0001000000000010000000001111111111000000000000000000000000000001 +0 53 0001000000000010000000001111111111000000000000000000000000000001 +0 52 0001000000000010000000001111111111000000000000000000000000000001 +0 51 0001000000000010000000001111111111000000000000000000000000000001 +0 50 0001000000000010000000001111111111000000000000000000000000000001 +0 49 0001000000000010000000001111111111000000000000000000000000000001 +0 48 0001000000000010000000001111111111000000000000000000000000000001 +0 47 0001000000000010000000001111111111000000000000000000000000000001 +0 46 0001000000000010000000001111111111000000000000000000000000000001 +0 45 0001000000000010000000001111111111000000000000000000000000000001 +0 44 0001000000000010000000001111111111000000000000000000000000000001 +0 43 0001000000000010000000001111111111000000000000000000000000000001 +0 42 0001000000000010000000001111111111000000000000000000000000000001 +0 41 0001000000000010000000001111111111000000000000000000000000000001 +0 40 0001000000000010000000001111111111000000000000000000000000000001 +0 39 0001000000000010000000001111111111000000000000000000000000000001 +0 38 0001000000000010000000001111111111000000000000000000000000000001 +0 37 0001000000000010000000001111111111000000000000000000000000000001 +0 36 0001000000000010000000001111111111000000000000000000000000000001 +0 35 0001000000000010000000001111111111000000000000000000000000000001 +0 34 0001000000000010000000001111111111000000000000000000000000000001 +0 33 0001000000000010000000001111111111000000000000000000000000000001 +0 32 0001000000000010000000001111111111000000000000000000000000000001 +0 31 0001000000000010000000001111111111000000000000000000000000000001 +0 30 0001000000000010000000001111111111000000000000000000000000000001 +0 29 0001000000000010000000001111111111000000000000000000000000000001 +0 28 0001000000000010000000001111111111000000000000000000000000000001 +0 27 0001000000000010000000001111111111000000000000000000000000000001 +0 26 0001000000000010000000001111111111000000000000000000000000000001 +0 25 0001000000000010000000001111111111000000000000000000000000000001 +0 24 0001000000000010000000001111111111000000000000000000000000000001 +0 23 0001000000000010000000001111111111000000000000000000000000000001 +0 22 0001000000000010000000001111111111000000000000000000000000000001 +0 21 0001000000000010000000001111111111000000000000000000000000000001 +0 20 0001000000000010000000001111111111000000000000000000000000000001 +0 19 0001000000000010000000001111111111000000000000000000000000000001 +0 18 0001000000000010000000001111111111000000000000000000000000000001 +0 17 0001000000000010000000001111111111000000000000000000000000000001 +0 16 0001000000000010000000001111111111000000000000000000000000000001 +0 15 0001000000000010000000001111111111000000000000000000000000000001 +0 14 0001000000000010000000001111111111000000000000000000000000000001 +0 13 0001000000000010000000001111111111000000000000000000000000000001 +0 12 0001000000000010000000001111111111000000000000000000000000000001 +0 11 0001000000000010000000001111111111000000000000000000000000000001 +0 10 0001000000000010000000001111111111000000000000000000000000000001 +0 9 0001000000000010000000001111111111000000000000000000000000000001 +0 8 0001000000000010000000001111111111000000000000000000000000000001 +0 7 0001000000000010000000001111111111000000000000000000000000000001 +0 6 0001000000000010000000001111111111000000000000000000000000000001 +0 5 0001000000000010000000001111111111000000000000000000000000000001 +0 4 0001000000000010000000001111111111000000000000000000000000000001 +0 3 0001000000000010000000001111111111000000000000000000000000000001 +0 2 0001000000000010000000001111111111000000000000000000000000000001 +0 1 0001000000000010000000001111111111000000000000000000000000000001 +0 0 0001000000000010000000001111111111000000000000000000000000000001 +0 1023 0001000000000000001000001111111111000000000000000000000000000001 +0 1022 0001000000000000001000001111111111000000000000000000000000000001 +0 1021 0001000000000000001000001111111111000000000000000000000000000001 +0 1020 0001000000000000001000001111111111000000000000000000000000000001 +0 1019 0001000000000000001000001111111111000000000000000000000000000001 +0 1018 0001000000000000001000001111111111000000000000000000000000000001 +0 1017 0001000000000000001000001111111111000000000000000000000000000001 +0 1016 0001000000000000001000001111111111000000000000000000000000000001 +0 1015 0001000000000000001000001111111111000000000000000000000000000001 +0 1014 0001000000000000001000001111111111000000000000000000000000000001 +0 1013 0001000000000000001000001111111111000000000000000000000000000001 +0 1012 0001000000000000001000001111111111000000000000000000000000000001 +0 1011 0001000000000000001000001111111111000000000000000000000000000001 +0 1010 0001000000000000001000001111111111000000000000000000000000000001 +0 1009 0001000000000000001000001111111111000000000000000000000000000001 +0 1008 0001000000000000001000001111111111000000000000000000000000000001 +0 1007 0001000000000000001000001111111111000000000000000000000000000001 +0 1006 0001000000000000001000001111111111000000000000000000000000000001 +0 1005 0001000000000000001000001111111111000000000000000000000000000001 +0 1004 0001000000000000001000001111111111000000000000000000000000000001 +0 1003 0001000000000000001000001111111111000000000000000000000000000001 +0 1002 0001000000000000001000001111111111000000000000000000000000000001 +0 1001 0001000000000000001000001111111111000000000000000000000000000001 +0 1000 0001000000000000001000001111111111000000000000000000000000000001 +0 999 0001000000000000001000001111111111000000000000000000000000000001 +0 998 0001000000000000001000001111111111000000000000000000000000000001 +0 997 0001000000000000001000001111111111000000000000000000000000000001 +0 996 0001000000000000001000001111111111000000000000000000000000000001 +0 995 0001000000000000001000001111111111000000000000000000000000000001 +0 994 0001000000000000001000001111111111000000000000000000000000000001 +0 993 0001000000000000001000001111111111000000000000000000000000000001 +0 992 0001000000000000001000001111111111000000000000000000000000000001 +0 991 0001000000000000001000001111111111000000000000000000000000000001 +0 990 0001000000000000001000001111111111000000000000000000000000000001 +0 989 0001000000000000001000001111111111000000000000000000000000000001 +0 988 0001000000000000001000001111111111000000000000000000000000000001 +0 987 0001000000000000001000001111111111000000000000000000000000000001 +0 986 0001000000000000001000001111111111000000000000000000000000000001 +0 985 0001000000000000001000001111111111000000000000000000000000000001 +0 984 0001000000000000001000001111111111000000000000000000000000000001 +0 983 0001000000000000001000001111111111000000000000000000000000000001 +0 982 0001000000000000001000001111111111000000000000000000000000000001 +0 981 0001000000000000001000001111111111000000000000000000000000000001 +0 980 0001000000000000001000001111111111000000000000000000000000000001 +0 979 0001000000000000001000001111111111000000000000000000000000000001 +0 978 0001000000000000001000001111111111000000000000000000000000000001 +0 977 0001000000000000001000001111111111000000000000000000000000000001 +0 976 0001000000000000001000001111111111000000000000000000000000000001 +0 975 0001000000000000001000001111111111000000000000000000000000000001 +0 974 0001000000000000001000001111111111000000000000000000000000000001 +0 973 0001000000000000001000001111111111000000000000000000000000000001 +0 972 0001000000000000001000001111111111000000000000000000000000000001 +0 971 0001000000000000001000001111111111000000000000000000000000000001 +0 970 0001000000000000001000001111111111000000000000000000000000000001 +0 969 0001000000000000001000001111111111000000000000000000000000000001 +0 968 0001000000000000001000001111111111000000000000000000000000000001 +0 967 0001000000000000001000001111111111000000000000000000000000000001 +0 966 0001000000000000001000001111111111000000000000000000000000000001 +0 965 0001000000000000001000001111111111000000000000000000000000000001 +0 964 0001000000000000001000001111111111000000000000000000000000000001 +0 963 0001000000000000001000001111111111000000000000000000000000000001 +0 962 0001000000000000001000001111111111000000000000000000000000000001 +0 961 0001000000000000001000001111111111000000000000000000000000000001 +0 960 0001000000000000001000001111111111000000000000000000000000000001 +0 959 0001000000000000001000001111111111000000000000000000000000000001 +0 958 0001000000000000001000001111111111000000000000000000000000000001 +0 957 0001000000000000001000001111111111000000000000000000000000000001 +0 956 0001000000000000001000001111111111000000000000000000000000000001 +0 955 0001000000000000001000001111111111000000000000000000000000000001 +0 954 0001000000000000001000001111111111000000000000000000000000000001 +0 953 0001000000000000001000001111111111000000000000000000000000000001 +0 952 0001000000000000001000001111111111000000000000000000000000000001 +0 951 0001000000000000001000001111111111000000000000000000000000000001 +0 950 0001000000000000001000001111111111000000000000000000000000000001 +0 949 0001000000000000001000001111111111000000000000000000000000000001 +0 948 0001000000000000001000001111111111000000000000000000000000000001 +0 947 0001000000000000001000001111111111000000000000000000000000000001 +0 946 0001000000000000001000001111111111000000000000000000000000000001 +0 945 0001000000000000001000001111111111000000000000000000000000000001 +0 944 0001000000000000001000001111111111000000000000000000000000000001 +0 943 0001000000000000001000001111111111000000000000000000000000000001 +0 942 0001000000000000001000001111111111000000000000000000000000000001 +0 941 0001000000000000001000001111111111000000000000000000000000000001 +0 940 0001000000000000001000001111111111000000000000000000000000000001 +0 939 0001000000000000001000001111111111000000000000000000000000000001 +0 938 0001000000000000001000001111111111000000000000000000000000000001 +0 937 0001000000000000001000001111111111000000000000000000000000000001 +0 936 0001000000000000001000001111111111000000000000000000000000000001 +0 935 0001000000000000001000001111111111000000000000000000000000000001 +0 934 0001000000000000001000001111111111000000000000000000000000000001 +0 933 0001000000000000001000001111111111000000000000000000000000000001 +0 932 0001000000000000001000001111111111000000000000000000000000000001 +0 931 0001000000000000001000001111111111000000000000000000000000000001 +0 930 0001000000000000001000001111111111000000000000000000000000000001 +0 929 0001000000000000001000001111111111000000000000000000000000000001 +0 928 0001000000000000001000001111111111000000000000000000000000000001 +0 927 0001000000000000001000001111111111000000000000000000000000000001 +0 926 0001000000000000001000001111111111000000000000000000000000000001 +0 925 0001000000000000001000001111111111000000000000000000000000000001 +0 924 0001000000000000001000001111111111000000000000000000000000000001 +0 923 0001000000000000001000001111111111000000000000000000000000000001 +0 922 0001000000000000001000001111111111000000000000000000000000000001 +0 921 0001000000000000001000001111111111000000000000000000000000000001 +0 920 0001000000000000001000001111111111000000000000000000000000000001 +0 919 0001000000000000001000001111111111000000000000000000000000000001 +0 918 0001000000000000001000001111111111000000000000000000000000000001 +0 917 0001000000000000001000001111111111000000000000000000000000000001 +0 916 0001000000000000001000001111111111000000000000000000000000000001 +0 915 0001000000000000001000001111111111000000000000000000000000000001 +0 914 0001000000000000001000001111111111000000000000000000000000000001 +0 913 0001000000000000001000001111111111000000000000000000000000000001 +0 912 0001000000000000001000001111111111000000000000000000000000000001 +0 911 0001000000000000001000001111111111000000000000000000000000000001 +0 910 0001000000000000001000001111111111000000000000000000000000000001 +0 909 0001000000000000001000001111111111000000000000000000000000000001 +0 908 0001000000000000001000001111111111000000000000000000000000000001 +0 907 0001000000000000001000001111111111000000000000000000000000000001 +0 906 0001000000000000001000001111111111000000000000000000000000000001 +0 905 0001000000000000001000001111111111000000000000000000000000000001 +0 904 0001000000000000001000001111111111000000000000000000000000000001 +0 903 0001000000000000001000001111111111000000000000000000000000000001 +0 902 0001000000000000001000001111111111000000000000000000000000000001 +0 901 0001000000000000001000001111111111000000000000000000000000000001 +0 900 0001000000000000001000001111111111000000000000000000000000000001 +0 899 0001000000000000001000001111111111000000000000000000000000000001 +0 898 0001000000000000001000001111111111000000000000000000000000000001 +0 897 0001000000000000001000001111111111000000000000000000000000000001 +0 896 0001000000000000001000001111111111000000000000000000000000000001 +0 895 0001000000000000001000001111111111000000000000000000000000000001 +0 894 0001000000000000001000001111111111000000000000000000000000000001 +0 893 0001000000000000001000001111111111000000000000000000000000000001 +0 892 0001000000000000001000001111111111000000000000000000000000000001 +0 891 0001000000000000001000001111111111000000000000000000000000000001 +0 890 0001000000000000001000001111111111000000000000000000000000000001 +0 889 0001000000000000001000001111111111000000000000000000000000000001 +0 888 0001000000000000001000001111111111000000000000000000000000000001 +0 887 0001000000000000001000001111111111000000000000000000000000000001 +0 886 0001000000000000001000001111111111000000000000000000000000000001 +0 885 0001000000000000001000001111111111000000000000000000000000000001 +0 884 0001000000000000001000001111111111000000000000000000000000000001 +0 883 0001000000000000001000001111111111000000000000000000000000000001 +0 882 0001000000000000001000001111111111000000000000000000000000000001 +0 881 0001000000000000001000001111111111000000000000000000000000000001 +0 880 0001000000000000001000001111111111000000000000000000000000000001 +0 879 0001000000000000001000001111111111000000000000000000000000000001 +0 878 0001000000000000001000001111111111000000000000000000000000000001 +0 877 0001000000000000001000001111111111000000000000000000000000000001 +0 876 0001000000000000001000001111111111000000000000000000000000000001 +0 875 0001000000000000001000001111111111000000000000000000000000000001 +0 874 0001000000000000001000001111111111000000000000000000000000000001 +0 873 0001000000000000001000001111111111000000000000000000000000000001 +0 872 0001000000000000001000001111111111000000000000000000000000000001 +0 871 0001000000000000001000001111111111000000000000000000000000000001 +0 870 0001000000000000001000001111111111000000000000000000000000000001 +0 869 0001000000000000001000001111111111000000000000000000000000000001 +0 868 0001000000000000001000001111111111000000000000000000000000000001 +0 867 0001000000000000001000001111111111000000000000000000000000000001 +0 866 0001000000000000001000001111111111000000000000000000000000000001 +0 865 0001000000000000001000001111111111000000000000000000000000000001 +0 864 0001000000000000001000001111111111000000000000000000000000000001 +0 863 0001000000000000001000001111111111000000000000000000000000000001 +0 862 0001000000000000001000001111111111000000000000000000000000000001 +0 861 0001000000000000001000001111111111000000000000000000000000000001 +0 860 0001000000000000001000001111111111000000000000000000000000000001 +0 859 0001000000000000001000001111111111000000000000000000000000000001 +0 858 0001000000000000001000001111111111000000000000000000000000000001 +0 857 0001000000000000001000001111111111000000000000000000000000000001 +0 856 0001000000000000001000001111111111000000000000000000000000000001 +0 855 0001000000000000001000001111111111000000000000000000000000000001 +0 854 0001000000000000001000001111111111000000000000000000000000000001 +0 853 0001000000000000001000001111111111000000000000000000000000000001 +0 852 0001000000000000001000001111111111000000000000000000000000000001 +0 851 0001000000000000001000001111111111000000000000000000000000000001 +0 850 0001000000000000001000001111111111000000000000000000000000000001 +0 849 0001000000000000001000001111111111000000000000000000000000000001 +0 848 0001000000000000001000001111111111000000000000000000000000000001 +0 847 0001000000000000001000001111111111000000000000000000000000000001 +0 846 0001000000000000001000001111111111000000000000000000000000000001 +0 845 0001000000000000001000001111111111000000000000000000000000000001 +0 844 0001000000000000001000001111111111000000000000000000000000000001 +0 843 0001000000000000001000001111111111000000000000000000000000000001 +0 842 0001000000000000001000001111111111000000000000000000000000000001 +0 841 0001000000000000001000001111111111000000000000000000000000000001 +0 840 0001000000000000001000001111111111000000000000000000000000000001 +0 839 0001000000000000001000001111111111000000000000000000000000000001 +0 838 0001000000000000001000001111111111000000000000000000000000000001 +0 837 0001000000000000001000001111111111000000000000000000000000000001 +0 836 0001000000000000001000001111111111000000000000000000000000000001 +0 835 0001000000000000001000001111111111000000000000000000000000000001 +0 834 0001000000000000001000001111111111000000000000000000000000000001 +0 833 0001000000000000001000001111111111000000000000000000000000000001 +0 832 0001000000000000001000001111111111000000000000000000000000000001 +0 831 0001000000000000001000001111111111000000000000000000000000000001 +0 830 0001000000000000001000001111111111000000000000000000000000000001 +0 829 0001000000000000001000001111111111000000000000000000000000000001 +0 828 0001000000000000001000001111111111000000000000000000000000000001 +0 827 0001000000000000001000001111111111000000000000000000000000000001 +0 826 0001000000000000001000001111111111000000000000000000000000000001 +0 825 0001000000000000001000001111111111000000000000000000000000000001 +0 824 0001000000000000001000001111111111000000000000000000000000000001 +0 823 0001000000000000001000001111111111000000000000000000000000000001 +0 822 0001000000000000001000001111111111000000000000000000000000000001 +0 821 0001000000000000001000001111111111000000000000000000000000000001 +0 820 0001000000000000001000001111111111000000000000000000000000000001 +0 819 0001000000000000001000001111111111000000000000000000000000000001 +0 818 0001000000000000001000001111111111000000000000000000000000000001 +0 817 0001000000000000001000001111111111000000000000000000000000000001 +0 816 0001000000000000001000001111111111000000000000000000000000000001 +0 815 0001000000000000001000001111111111000000000000000000000000000001 +0 814 0001000000000000001000001111111111000000000000000000000000000001 +0 813 0001000000000000001000001111111111000000000000000000000000000001 +0 812 0001000000000000001000001111111111000000000000000000000000000001 +0 811 0001000000000000001000001111111111000000000000000000000000000001 +0 810 0001000000000000001000001111111111000000000000000000000000000001 +0 809 0001000000000000001000001111111111000000000000000000000000000001 +0 808 0001000000000000001000001111111111000000000000000000000000000001 +0 807 0001000000000000001000001111111111000000000000000000000000000001 +0 806 0001000000000000001000001111111111000000000000000000000000000001 +0 805 0001000000000000001000001111111111000000000000000000000000000001 +0 804 0001000000000000001000001111111111000000000000000000000000000001 +0 803 0001000000000000001000001111111111000000000000000000000000000001 +0 802 0001000000000000001000001111111111000000000000000000000000000001 +0 801 0001000000000000001000001111111111000000000000000000000000000001 +0 800 0001000000000000001000001111111111000000000000000000000000000001 +0 799 0001000000000000001000001111111111000000000000000000000000000001 +0 798 0001000000000000001000001111111111000000000000000000000000000001 +0 797 0001000000000000001000001111111111000000000000000000000000000001 +0 796 0001000000000000001000001111111111000000000000000000000000000001 +0 795 0001000000000000001000001111111111000000000000000000000000000001 +0 794 0001000000000000001000001111111111000000000000000000000000000001 +0 793 0001000000000000001000001111111111000000000000000000000000000001 +0 792 0001000000000000001000001111111111000000000000000000000000000001 +0 791 0001000000000000001000001111111111000000000000000000000000000001 +0 790 0001000000000000001000001111111111000000000000000000000000000001 +0 789 0001000000000000001000001111111111000000000000000000000000000001 +0 788 0001000000000000001000001111111111000000000000000000000000000001 +0 787 0001000000000000001000001111111111000000000000000000000000000001 +0 786 0001000000000000001000001111111111000000000000000000000000000001 +0 785 0001000000000000001000001111111111000000000000000000000000000001 +0 784 0001000000000000001000001111111111000000000000000000000000000001 +0 783 0001000000000000001000001111111111000000000000000000000000000001 +0 782 0001000000000000001000001111111111000000000000000000000000000001 +0 781 0001000000000000001000001111111111000000000000000000000000000001 +0 780 0001000000000000001000001111111111000000000000000000000000000001 +0 779 0001000000000000001000001111111111000000000000000000000000000001 +0 778 0001000000000000001000001111111111000000000000000000000000000001 +0 777 0001000000000000001000001111111111000000000000000000000000000001 +0 776 0001000000000000001000001111111111000000000000000000000000000001 +0 775 0001000000000000001000001111111111000000000000000000000000000001 +0 774 0001000000000000001000001111111111000000000000000000000000000001 +0 773 0001000000000000001000001111111111000000000000000000000000000001 +0 772 0001000000000000001000001111111111000000000000000000000000000001 +0 771 0001000000000000001000001111111111000000000000000000000000000001 +0 770 0001000000000000001000001111111111000000000000000000000000000001 +0 769 0001000000000000001000001111111111000000000000000000000000000001 +0 768 0001000000000000001000001111111111000000000000000000000000000001 +0 767 0001000000000000001000001111111111000000000000000000000000000001 +0 766 0001000000000000001000001111111111000000000000000000000000000001 +0 765 0001000000000000001000001111111111000000000000000000000000000001 +0 764 0001000000000000001000001111111111000000000000000000000000000001 +0 763 0001000000000000001000001111111111000000000000000000000000000001 +0 762 0001000000000000001000001111111111000000000000000000000000000001 +0 761 0001000000000000001000001111111111000000000000000000000000000001 +0 760 0001000000000000001000001111111111000000000000000000000000000001 +0 759 0001000000000000001000001111111111000000000000000000000000000001 +0 758 0001000000000000001000001111111111000000000000000000000000000001 +0 757 0001000000000000001000001111111111000000000000000000000000000001 +0 756 0001000000000000001000001111111111000000000000000000000000000001 +0 755 0001000000000000001000001111111111000000000000000000000000000001 +0 754 0001000000000000001000001111111111000000000000000000000000000001 +0 753 0001000000000000001000001111111111000000000000000000000000000001 +0 752 0001000000000000001000001111111111000000000000000000000000000001 +0 751 0001000000000000001000001111111111000000000000000000000000000001 +0 750 0001000000000000001000001111111111000000000000000000000000000001 +0 749 0001000000000000001000001111111111000000000000000000000000000001 +0 748 0001000000000000001000001111111111000000000000000000000000000001 +0 747 0001000000000000001000001111111111000000000000000000000000000001 +0 746 0001000000000000001000001111111111000000000000000000000000000001 +0 745 0001000000000000001000001111111111000000000000000000000000000001 +0 744 0001000000000000001000001111111111000000000000000000000000000001 +0 743 0001000000000000001000001111111111000000000000000000000000000001 +0 742 0001000000000000001000001111111111000000000000000000000000000001 +0 741 0001000000000000001000001111111111000000000000000000000000000001 +0 740 0001000000000000001000001111111111000000000000000000000000000001 +0 739 0001000000000000001000001111111111000000000000000000000000000001 +0 738 0001000000000000001000001111111111000000000000000000000000000001 +0 737 0001000000000000001000001111111111000000000000000000000000000001 +0 736 0001000000000000001000001111111111000000000000000000000000000001 +0 735 0001000000000000001000001111111111000000000000000000000000000001 +0 734 0001000000000000001000001111111111000000000000000000000000000001 +0 733 0001000000000000001000001111111111000000000000000000000000000001 +0 732 0001000000000000001000001111111111000000000000000000000000000001 +0 731 0001000000000000001000001111111111000000000000000000000000000001 +0 730 0001000000000000001000001111111111000000000000000000000000000001 +0 729 0001000000000000001000001111111111000000000000000000000000000001 +0 728 0001000000000000001000001111111111000000000000000000000000000001 +0 727 0001000000000000001000001111111111000000000000000000000000000001 +0 726 0001000000000000001000001111111111000000000000000000000000000001 +0 725 0001000000000000001000001111111111000000000000000000000000000001 +0 724 0001000000000000001000001111111111000000000000000000000000000001 +0 723 0001000000000000001000001111111111000000000000000000000000000001 +0 722 0001000000000000001000001111111111000000000000000000000000000001 +0 721 0001000000000000001000001111111111000000000000000000000000000001 +0 720 0001000000000000001000001111111111000000000000000000000000000001 +0 719 0001000000000000001000001111111111000000000000000000000000000001 +0 718 0001000000000000001000001111111111000000000000000000000000000001 +0 717 0001000000000000001000001111111111000000000000000000000000000001 +0 716 0001000000000000001000001111111111000000000000000000000000000001 +0 715 0001000000000000001000001111111111000000000000000000000000000001 +0 714 0001000000000000001000001111111111000000000000000000000000000001 +0 713 0001000000000000001000001111111111000000000000000000000000000001 +0 712 0001000000000000001000001111111111000000000000000000000000000001 +0 711 0001000000000000001000001111111111000000000000000000000000000001 +0 710 0001000000000000001000001111111111000000000000000000000000000001 +0 709 0001000000000000001000001111111111000000000000000000000000000001 +0 708 0001000000000000001000001111111111000000000000000000000000000001 +0 707 0001000000000000001000001111111111000000000000000000000000000001 +0 706 0001000000000000001000001111111111000000000000000000000000000001 +0 705 0001000000000000001000001111111111000000000000000000000000000001 +0 704 0001000000000000001000001111111111000000000000000000000000000001 +0 703 0001000000000000001000001111111111000000000000000000000000000001 +0 702 0001000000000000001000001111111111000000000000000000000000000001 +0 701 0001000000000000001000001111111111000000000000000000000000000001 +0 700 0001000000000000001000001111111111000000000000000000000000000001 +0 699 0001000000000000001000001111111111000000000000000000000000000001 +0 698 0001000000000000001000001111111111000000000000000000000000000001 +0 697 0001000000000000001000001111111111000000000000000000000000000001 +0 696 0001000000000000001000001111111111000000000000000000000000000001 +0 695 0001000000000000001000001111111111000000000000000000000000000001 +0 694 0001000000000000001000001111111111000000000000000000000000000001 +0 693 0001000000000000001000001111111111000000000000000000000000000001 +0 692 0001000000000000001000001111111111000000000000000000000000000001 +0 691 0001000000000000001000001111111111000000000000000000000000000001 +0 690 0001000000000000001000001111111111000000000000000000000000000001 +0 689 0001000000000000001000001111111111000000000000000000000000000001 +0 688 0001000000000000001000001111111111000000000000000000000000000001 +0 687 0001000000000000001000001111111111000000000000000000000000000001 +0 686 0001000000000000001000001111111111000000000000000000000000000001 +0 685 0001000000000000001000001111111111000000000000000000000000000001 +0 684 0001000000000000001000001111111111000000000000000000000000000001 +0 683 0001000000000000001000001111111111000000000000000000000000000001 +0 682 0001000000000000001000001111111111000000000000000000000000000001 +0 681 0001000000000000001000001111111111000000000000000000000000000001 +0 680 0001000000000000001000001111111111000000000000000000000000000001 +0 679 0001000000000000001000001111111111000000000000000000000000000001 +0 678 0001000000000000001000001111111111000000000000000000000000000001 +0 677 0001000000000000001000001111111111000000000000000000000000000001 +0 676 0001000000000000001000001111111111000000000000000000000000000001 +0 675 0001000000000000001000001111111111000000000000000000000000000001 +0 674 0001000000000000001000001111111111000000000000000000000000000001 +0 673 0001000000000000001000001111111111000000000000000000000000000001 +0 672 0001000000000000001000001111111111000000000000000000000000000001 +0 671 0001000000000000001000001111111111000000000000000000000000000001 +0 670 0001000000000000001000001111111111000000000000000000000000000001 +0 669 0001000000000000001000001111111111000000000000000000000000000001 +0 668 0001000000000000001000001111111111000000000000000000000000000001 +0 667 0001000000000000001000001111111111000000000000000000000000000001 +0 666 0001000000000000001000001111111111000000000000000000000000000001 +0 665 0001000000000000001000001111111111000000000000000000000000000001 +0 664 0001000000000000001000001111111111000000000000000000000000000001 +0 663 0001000000000000001000001111111111000000000000000000000000000001 +0 662 0001000000000000001000001111111111000000000000000000000000000001 +0 661 0001000000000000001000001111111111000000000000000000000000000001 +0 660 0001000000000000001000001111111111000000000000000000000000000001 +0 659 0001000000000000001000001111111111000000000000000000000000000001 +0 658 0001000000000000001000001111111111000000000000000000000000000001 +0 657 0001000000000000001000001111111111000000000000000000000000000001 +0 656 0001000000000000001000001111111111000000000000000000000000000001 +0 655 0001000000000000001000001111111111000000000000000000000000000001 +0 654 0001000000000000001000001111111111000000000000000000000000000001 +0 653 0001000000000000001000001111111111000000000000000000000000000001 +0 652 0001000000000000001000001111111111000000000000000000000000000001 +0 651 0001000000000000001000001111111111000000000000000000000000000001 +0 650 0001000000000000001000001111111111000000000000000000000000000001 +0 649 0001000000000000001000001111111111000000000000000000000000000001 +0 648 0001000000000000001000001111111111000000000000000000000000000001 +0 647 0001000000000000001000001111111111000000000000000000000000000001 +0 646 0001000000000000001000001111111111000000000000000000000000000001 +0 645 0001000000000000001000001111111111000000000000000000000000000001 +0 644 0001000000000000001000001111111111000000000000000000000000000001 +0 643 0001000000000000001000001111111111000000000000000000000000000001 +0 642 0001000000000000001000001111111111000000000000000000000000000001 +0 641 0001000000000000001000001111111111000000000000000000000000000001 +0 640 0001000000000000001000001111111111000000000000000000000000000001 +0 639 0001000000000000001000001111111111000000000000000000000000000001 +0 638 0001000000000000001000001111111111000000000000000000000000000001 +0 637 0001000000000000001000001111111111000000000000000000000000000001 +0 636 0001000000000000001000001111111111000000000000000000000000000001 +0 635 0001000000000000001000001111111111000000000000000000000000000001 +0 634 0001000000000000001000001111111111000000000000000000000000000001 +0 633 0001000000000000001000001111111111000000000000000000000000000001 +0 632 0001000000000000001000001111111111000000000000000000000000000001 +0 631 0001000000000000001000001111111111000000000000000000000000000001 +0 630 0001000000000000001000001111111111000000000000000000000000000001 +0 629 0001000000000000001000001111111111000000000000000000000000000001 +0 628 0001000000000000001000001111111111000000000000000000000000000001 +0 627 0001000000000000001000001111111111000000000000000000000000000001 +0 626 0001000000000000001000001111111111000000000000000000000000000001 +0 625 0001000000000000001000001111111111000000000000000000000000000001 +0 624 0001000000000000001000001111111111000000000000000000000000000001 +0 623 0001000000000000001000001111111111000000000000000000000000000001 +0 622 0001000000000000001000001111111111000000000000000000000000000001 +0 621 0001000000000000001000001111111111000000000000000000000000000001 +0 620 0001000000000000001000001111111111000000000000000000000000000001 +0 619 0001000000000000001000001111111111000000000000000000000000000001 +0 618 0001000000000000001000001111111111000000000000000000000000000001 +0 617 0001000000000000001000001111111111000000000000000000000000000001 +0 616 0001000000000000001000001111111111000000000000000000000000000001 +0 615 0001000000000000001000001111111111000000000000000000000000000001 +0 614 0001000000000000001000001111111111000000000000000000000000000001 +0 613 0001000000000000001000001111111111000000000000000000000000000001 +0 612 0001000000000000001000001111111111000000000000000000000000000001 +0 611 0001000000000000001000001111111111000000000000000000000000000001 +0 610 0001000000000000001000001111111111000000000000000000000000000001 +0 609 0001000000000000001000001111111111000000000000000000000000000001 +0 608 0001000000000000001000001111111111000000000000000000000000000001 +0 607 0001000000000000001000001111111111000000000000000000000000000001 +0 606 0001000000000000001000001111111111000000000000000000000000000001 +0 605 0001000000000000001000001111111111000000000000000000000000000001 +0 604 0001000000000000001000001111111111000000000000000000000000000001 +0 603 0001000000000000001000001111111111000000000000000000000000000001 +0 602 0001000000000000001000001111111111000000000000000000000000000001 +0 601 0001000000000000001000001111111111000000000000000000000000000001 +0 600 0001000000000000001000001111111111000000000000000000000000000001 +0 599 0001000000000000001000001111111111000000000000000000000000000001 +0 598 0001000000000000001000001111111111000000000000000000000000000001 +0 597 0001000000000000001000001111111111000000000000000000000000000001 +0 596 0001000000000000001000001111111111000000000000000000000000000001 +0 595 0001000000000000001000001111111111000000000000000000000000000001 +0 594 0001000000000000001000001111111111000000000000000000000000000001 +0 593 0001000000000000001000001111111111000000000000000000000000000001 +0 592 0001000000000000001000001111111111000000000000000000000000000001 +0 591 0001000000000000001000001111111111000000000000000000000000000001 +0 590 0001000000000000001000001111111111000000000000000000000000000001 +0 589 0001000000000000001000001111111111000000000000000000000000000001 +0 588 0001000000000000001000001111111111000000000000000000000000000001 +0 587 0001000000000000001000001111111111000000000000000000000000000001 +0 586 0001000000000000001000001111111111000000000000000000000000000001 +0 585 0001000000000000001000001111111111000000000000000000000000000001 +0 584 0001000000000000001000001111111111000000000000000000000000000001 +0 583 0001000000000000001000001111111111000000000000000000000000000001 +0 582 0001000000000000001000001111111111000000000000000000000000000001 +0 581 0001000000000000001000001111111111000000000000000000000000000001 +0 580 0001000000000000001000001111111111000000000000000000000000000001 +0 579 0001000000000000001000001111111111000000000000000000000000000001 +0 578 0001000000000000001000001111111111000000000000000000000000000001 +0 577 0001000000000000001000001111111111000000000000000000000000000001 +0 576 0001000000000000001000001111111111000000000000000000000000000001 +0 575 0001000000000000001000001111111111000000000000000000000000000001 +0 574 0001000000000000001000001111111111000000000000000000000000000001 +0 573 0001000000000000001000001111111111000000000000000000000000000001 +0 572 0001000000000000001000001111111111000000000000000000000000000001 +0 571 0001000000000000001000001111111111000000000000000000000000000001 +0 570 0001000000000000001000001111111111000000000000000000000000000001 +0 569 0001000000000000001000001111111111000000000000000000000000000001 +0 568 0001000000000000001000001111111111000000000000000000000000000001 +0 567 0001000000000000001000001111111111000000000000000000000000000001 +0 566 0001000000000000001000001111111111000000000000000000000000000001 +0 565 0001000000000000001000001111111111000000000000000000000000000001 +0 564 0001000000000000001000001111111111000000000000000000000000000001 +0 563 0001000000000000001000001111111111000000000000000000000000000001 +0 562 0001000000000000001000001111111111000000000000000000000000000001 +0 561 0001000000000000001000001111111111000000000000000000000000000001 +0 560 0001000000000000001000001111111111000000000000000000000000000001 +0 559 0001000000000000001000001111111111000000000000000000000000000001 +0 558 0001000000000000001000001111111111000000000000000000000000000001 +0 557 0001000000000000001000001111111111000000000000000000000000000001 +0 556 0001000000000000001000001111111111000000000000000000000000000001 +0 555 0001000000000000001000001111111111000000000000000000000000000001 +0 554 0001000000000000001000001111111111000000000000000000000000000001 +0 553 0001000000000000001000001111111111000000000000000000000000000001 +0 552 0001000000000000001000001111111111000000000000000000000000000001 +0 551 0001000000000000001000001111111111000000000000000000000000000001 +0 550 0001000000000000001000001111111111000000000000000000000000000001 +0 549 0001000000000000001000001111111111000000000000000000000000000001 +0 548 0001000000000000001000001111111111000000000000000000000000000001 +0 547 0001000000000000001000001111111111000000000000000000000000000001 +0 546 0001000000000000001000001111111111000000000000000000000000000001 +0 545 0001000000000000001000001111111111000000000000000000000000000001 +0 544 0001000000000000001000001111111111000000000000000000000000000001 +0 543 0001000000000000001000001111111111000000000000000000000000000001 +0 542 0001000000000000001000001111111111000000000000000000000000000001 +0 541 0001000000000000001000001111111111000000000000000000000000000001 +0 540 0001000000000000001000001111111111000000000000000000000000000001 +0 539 0001000000000000001000001111111111000000000000000000000000000001 +0 538 0001000000000000001000001111111111000000000000000000000000000001 +0 537 0001000000000000001000001111111111000000000000000000000000000001 +0 536 0001000000000000001000001111111111000000000000000000000000000001 +0 535 0001000000000000001000001111111111000000000000000000000000000001 +0 534 0001000000000000001000001111111111000000000000000000000000000001 +0 533 0001000000000000001000001111111111000000000000000000000000000001 +0 532 0001000000000000001000001111111111000000000000000000000000000001 +0 531 0001000000000000001000001111111111000000000000000000000000000001 +0 530 0001000000000000001000001111111111000000000000000000000000000001 +0 529 0001000000000000001000001111111111000000000000000000000000000001 +0 528 0001000000000000001000001111111111000000000000000000000000000001 +0 527 0001000000000000001000001111111111000000000000000000000000000001 +0 526 0001000000000000001000001111111111000000000000000000000000000001 +0 525 0001000000000000001000001111111111000000000000000000000000000001 +0 524 0001000000000000001000001111111111000000000000000000000000000001 +0 523 0001000000000000001000001111111111000000000000000000000000000001 +0 522 0001000000000000001000001111111111000000000000000000000000000001 +0 521 0001000000000000001000001111111111000000000000000000000000000001 +0 520 0001000000000000001000001111111111000000000000000000000000000001 +0 519 0001000000000000001000001111111111000000000000000000000000000001 +0 518 0001000000000000001000001111111111000000000000000000000000000001 +0 517 0001000000000000001000001111111111000000000000000000000000000001 +0 516 0001000000000000001000001111111111000000000000000000000000000001 +0 515 0001000000000000001000001111111111000000000000000000000000000001 +0 514 0001000000000000001000001111111111000000000000000000000000000001 +0 513 0001000000000000001000001111111111000000000000000000000000000001 +0 512 0001000000000000001000001111111111000000000000000000000000000001 +0 511 0001000000000000001000001111111111000000000000000000000000000001 +0 510 0001000000000000001000001111111111000000000000000000000000000001 +0 509 0001000000000000001000001111111111000000000000000000000000000001 +0 508 0001000000000000001000001111111111000000000000000000000000000001 +0 507 0001000000000000001000001111111111000000000000000000000000000001 +0 506 0001000000000000001000001111111111000000000000000000000000000001 +0 505 0001000000000000001000001111111111000000000000000000000000000001 +0 504 0001000000000000001000001111111111000000000000000000000000000001 +0 503 0001000000000000001000001111111111000000000000000000000000000001 +0 502 0001000000000000001000001111111111000000000000000000000000000001 +0 501 0001000000000000001000001111111111000000000000000000000000000001 +0 500 0001000000000000001000001111111111000000000000000000000000000001 +0 499 0001000000000000001000001111111111000000000000000000000000000001 +0 498 0001000000000000001000001111111111000000000000000000000000000001 +0 497 0001000000000000001000001111111111000000000000000000000000000001 +0 496 0001000000000000001000001111111111000000000000000000000000000001 +0 495 0001000000000000001000001111111111000000000000000000000000000001 +0 494 0001000000000000001000001111111111000000000000000000000000000001 +0 493 0001000000000000001000001111111111000000000000000000000000000001 +0 492 0001000000000000001000001111111111000000000000000000000000000001 +0 491 0001000000000000001000001111111111000000000000000000000000000001 +0 490 0001000000000000001000001111111111000000000000000000000000000001 +0 489 0001000000000000001000001111111111000000000000000000000000000001 +0 488 0001000000000000001000001111111111000000000000000000000000000001 +0 487 0001000000000000001000001111111111000000000000000000000000000001 +0 486 0001000000000000001000001111111111000000000000000000000000000001 +0 485 0001000000000000001000001111111111000000000000000000000000000001 +0 484 0001000000000000001000001111111111000000000000000000000000000001 +0 483 0001000000000000001000001111111111000000000000000000000000000001 +0 482 0001000000000000001000001111111111000000000000000000000000000001 +0 481 0001000000000000001000001111111111000000000000000000000000000001 +0 480 0001000000000000001000001111111111000000000000000000000000000001 +0 479 0001000000000000001000001111111111000000000000000000000000000001 +0 478 0001000000000000001000001111111111000000000000000000000000000001 +0 477 0001000000000000001000001111111111000000000000000000000000000001 +0 476 0001000000000000001000001111111111000000000000000000000000000001 +0 475 0001000000000000001000001111111111000000000000000000000000000001 +0 474 0001000000000000001000001111111111000000000000000000000000000001 +0 473 0001000000000000001000001111111111000000000000000000000000000001 +0 472 0001000000000000001000001111111111000000000000000000000000000001 +0 471 0001000000000000001000001111111111000000000000000000000000000001 +0 470 0001000000000000001000001111111111000000000000000000000000000001 +0 469 0001000000000000001000001111111111000000000000000000000000000001 +0 468 0001000000000000001000001111111111000000000000000000000000000001 +0 467 0001000000000000001000001111111111000000000000000000000000000001 +0 466 0001000000000000001000001111111111000000000000000000000000000001 +0 465 0001000000000000001000001111111111000000000000000000000000000001 +0 464 0001000000000000001000001111111111000000000000000000000000000001 +0 463 0001000000000000001000001111111111000000000000000000000000000001 +0 462 0001000000000000001000001111111111000000000000000000000000000001 +0 461 0001000000000000001000001111111111000000000000000000000000000001 +0 460 0001000000000000001000001111111111000000000000000000000000000001 +0 459 0001000000000000001000001111111111000000000000000000000000000001 +0 458 0001000000000000001000001111111111000000000000000000000000000001 +0 457 0001000000000000001000001111111111000000000000000000000000000001 +0 456 0001000000000000001000001111111111000000000000000000000000000001 +0 455 0001000000000000001000001111111111000000000000000000000000000001 +0 454 0001000000000000001000001111111111000000000000000000000000000001 +0 453 0001000000000000001000001111111111000000000000000000000000000001 +0 452 0001000000000000001000001111111111000000000000000000000000000001 +0 451 0001000000000000001000001111111111000000000000000000000000000001 +0 450 0001000000000000001000001111111111000000000000000000000000000001 +0 449 0001000000000000001000001111111111000000000000000000000000000001 +0 448 0001000000000000001000001111111111000000000000000000000000000001 +0 447 0001000000000000001000001111111111000000000000000000000000000001 +0 446 0001000000000000001000001111111111000000000000000000000000000001 +0 445 0001000000000000001000001111111111000000000000000000000000000001 +0 444 0001000000000000001000001111111111000000000000000000000000000001 +0 443 0001000000000000001000001111111111000000000000000000000000000001 +0 442 0001000000000000001000001111111111000000000000000000000000000001 +0 441 0001000000000000001000001111111111000000000000000000000000000001 +0 440 0001000000000000001000001111111111000000000000000000000000000001 +0 439 0001000000000000001000001111111111000000000000000000000000000001 +0 438 0001000000000000001000001111111111000000000000000000000000000001 +0 437 0001000000000000001000001111111111000000000000000000000000000001 +0 436 0001000000000000001000001111111111000000000000000000000000000001 +0 435 0001000000000000001000001111111111000000000000000000000000000001 +0 434 0001000000000000001000001111111111000000000000000000000000000001 +0 433 0001000000000000001000001111111111000000000000000000000000000001 +0 432 0001000000000000001000001111111111000000000000000000000000000001 +0 431 0001000000000000001000001111111111000000000000000000000000000001 +0 430 0001000000000000001000001111111111000000000000000000000000000001 +0 429 0001000000000000001000001111111111000000000000000000000000000001 +0 428 0001000000000000001000001111111111000000000000000000000000000001 +0 427 0001000000000000001000001111111111000000000000000000000000000001 +0 426 0001000000000000001000001111111111000000000000000000000000000001 +0 425 0001000000000000001000001111111111000000000000000000000000000001 +0 424 0001000000000000001000001111111111000000000000000000000000000001 +0 423 0001000000000000001000001111111111000000000000000000000000000001 +0 422 0001000000000000001000001111111111000000000000000000000000000001 +0 421 0001000000000000001000001111111111000000000000000000000000000001 +0 420 0001000000000000001000001111111111000000000000000000000000000001 +0 419 0001000000000000001000001111111111000000000000000000000000000001 +0 418 0001000000000000001000001111111111000000000000000000000000000001 +0 417 0001000000000000001000001111111111000000000000000000000000000001 +0 416 0001000000000000001000001111111111000000000000000000000000000001 +0 415 0001000000000000001000001111111111000000000000000000000000000001 +0 414 0001000000000000001000001111111111000000000000000000000000000001 +0 413 0001000000000000001000001111111111000000000000000000000000000001 +0 412 0001000000000000001000001111111111000000000000000000000000000001 +0 411 0001000000000000001000001111111111000000000000000000000000000001 +0 410 0001000000000000001000001111111111000000000000000000000000000001 +0 409 0001000000000000001000001111111111000000000000000000000000000001 +0 408 0001000000000000001000001111111111000000000000000000000000000001 +0 407 0001000000000000001000001111111111000000000000000000000000000001 +0 406 0001000000000000001000001111111111000000000000000000000000000001 +0 405 0001000000000000001000001111111111000000000000000000000000000001 +0 404 0001000000000000001000001111111111000000000000000000000000000001 +0 403 0001000000000000001000001111111111000000000000000000000000000001 +0 402 0001000000000000001000001111111111000000000000000000000000000001 +0 401 0001000000000000001000001111111111000000000000000000000000000001 +0 400 0001000000000000001000001111111111000000000000000000000000000001 +0 399 0001000000000000001000001111111111000000000000000000000000000001 +0 398 0001000000000000001000001111111111000000000000000000000000000001 +0 397 0001000000000000001000001111111111000000000000000000000000000001 +0 396 0001000000000000001000001111111111000000000000000000000000000001 +0 395 0001000000000000001000001111111111000000000000000000000000000001 +0 394 0001000000000000001000001111111111000000000000000000000000000001 +0 393 0001000000000000001000001111111111000000000000000000000000000001 +0 392 0001000000000000001000001111111111000000000000000000000000000001 +0 391 0001000000000000001000001111111111000000000000000000000000000001 +0 390 0001000000000000001000001111111111000000000000000000000000000001 +0 389 0001000000000000001000001111111111000000000000000000000000000001 +0 388 0001000000000000001000001111111111000000000000000000000000000001 +0 387 0001000000000000001000001111111111000000000000000000000000000001 +0 386 0001000000000000001000001111111111000000000000000000000000000001 +0 385 0001000000000000001000001111111111000000000000000000000000000001 +0 384 0001000000000000001000001111111111000000000000000000000000000001 +0 383 0001000000000000001000001111111111000000000000000000000000000001 +0 382 0001000000000000001000001111111111000000000000000000000000000001 +0 381 0001000000000000001000001111111111000000000000000000000000000001 +0 380 0001000000000000001000001111111111000000000000000000000000000001 +0 379 0001000000000000001000001111111111000000000000000000000000000001 +0 378 0001000000000000001000001111111111000000000000000000000000000001 +0 377 0001000000000000001000001111111111000000000000000000000000000001 +0 376 0001000000000000001000001111111111000000000000000000000000000001 +0 375 0001000000000000001000001111111111000000000000000000000000000001 +0 374 0001000000000000001000001111111111000000000000000000000000000001 +0 373 0001000000000000001000001111111111000000000000000000000000000001 +0 372 0001000000000000001000001111111111000000000000000000000000000001 +0 371 0001000000000000001000001111111111000000000000000000000000000001 +0 370 0001000000000000001000001111111111000000000000000000000000000001 +0 369 0001000000000000001000001111111111000000000000000000000000000001 +0 368 0001000000000000001000001111111111000000000000000000000000000001 +0 367 0001000000000000001000001111111111000000000000000000000000000001 +0 366 0001000000000000001000001111111111000000000000000000000000000001 +0 365 0001000000000000001000001111111111000000000000000000000000000001 +0 364 0001000000000000001000001111111111000000000000000000000000000001 +0 363 0001000000000000001000001111111111000000000000000000000000000001 +0 362 0001000000000000001000001111111111000000000000000000000000000001 +0 361 0001000000000000001000001111111111000000000000000000000000000001 +0 360 0001000000000000001000001111111111000000000000000000000000000001 +0 359 0001000000000000001000001111111111000000000000000000000000000001 +0 358 0001000000000000001000001111111111000000000000000000000000000001 +0 357 0001000000000000001000001111111111000000000000000000000000000001 +0 356 0001000000000000001000001111111111000000000000000000000000000001 +0 355 0001000000000000001000001111111111000000000000000000000000000001 +0 354 0001000000000000001000001111111111000000000000000000000000000001 +0 353 0001000000000000001000001111111111000000000000000000000000000001 +0 352 0001000000000000001000001111111111000000000000000000000000000001 +0 351 0001000000000000001000001111111111000000000000000000000000000001 +0 350 0001000000000000001000001111111111000000000000000000000000000001 +0 349 0001000000000000001000001111111111000000000000000000000000000001 +0 348 0001000000000000001000001111111111000000000000000000000000000001 +0 347 0001000000000000001000001111111111000000000000000000000000000001 +0 346 0001000000000000001000001111111111000000000000000000000000000001 +0 345 0001000000000000001000001111111111000000000000000000000000000001 +0 344 0001000000000000001000001111111111000000000000000000000000000001 +0 343 0001000000000000001000001111111111000000000000000000000000000001 +0 342 0001000000000000001000001111111111000000000000000000000000000001 +0 341 0001000000000000001000001111111111000000000000000000000000000001 +0 340 0001000000000000001000001111111111000000000000000000000000000001 +0 339 0001000000000000001000001111111111000000000000000000000000000001 +0 338 0001000000000000001000001111111111000000000000000000000000000001 +0 337 0001000000000000001000001111111111000000000000000000000000000001 +0 336 0001000000000000001000001111111111000000000000000000000000000001 +0 335 0001000000000000001000001111111111000000000000000000000000000001 +0 334 0001000000000000001000001111111111000000000000000000000000000001 +0 333 0001000000000000001000001111111111000000000000000000000000000001 +0 332 0001000000000000001000001111111111000000000000000000000000000001 +0 331 0001000000000000001000001111111111000000000000000000000000000001 +0 330 0001000000000000001000001111111111000000000000000000000000000001 +0 329 0001000000000000001000001111111111000000000000000000000000000001 +0 328 0001000000000000001000001111111111000000000000000000000000000001 +0 327 0001000000000000001000001111111111000000000000000000000000000001 +0 326 0001000000000000001000001111111111000000000000000000000000000001 +0 325 0001000000000000001000001111111111000000000000000000000000000001 +0 324 0001000000000000001000001111111111000000000000000000000000000001 +0 323 0001000000000000001000001111111111000000000000000000000000000001 +0 322 0001000000000000001000001111111111000000000000000000000000000001 +0 321 0001000000000000001000001111111111000000000000000000000000000001 +0 320 0001000000000000001000001111111111000000000000000000000000000001 +0 319 0001000000000000001000001111111111000000000000000000000000000001 +0 318 0001000000000000001000001111111111000000000000000000000000000001 +0 317 0001000000000000001000001111111111000000000000000000000000000001 +0 316 0001000000000000001000001111111111000000000000000000000000000001 +0 315 0001000000000000001000001111111111000000000000000000000000000001 +0 314 0001000000000000001000001111111111000000000000000000000000000001 +0 313 0001000000000000001000001111111111000000000000000000000000000001 +0 312 0001000000000000001000001111111111000000000000000000000000000001 +0 311 0001000000000000001000001111111111000000000000000000000000000001 +0 310 0001000000000000001000001111111111000000000000000000000000000001 +0 309 0001000000000000001000001111111111000000000000000000000000000001 +0 308 0001000000000000001000001111111111000000000000000000000000000001 +0 307 0001000000000000001000001111111111000000000000000000000000000001 +0 306 0001000000000000001000001111111111000000000000000000000000000001 +0 305 0001000000000000001000001111111111000000000000000000000000000001 +0 304 0001000000000000001000001111111111000000000000000000000000000001 +0 303 0001000000000000001000001111111111000000000000000000000000000001 +0 302 0001000000000000001000001111111111000000000000000000000000000001 +0 301 0001000000000000001000001111111111000000000000000000000000000001 +0 300 0001000000000000001000001111111111000000000000000000000000000001 +0 299 0001000000000000001000001111111111000000000000000000000000000001 +0 298 0001000000000000001000001111111111000000000000000000000000000001 +0 297 0001000000000000001000001111111111000000000000000000000000000001 +0 296 0001000000000000001000001111111111000000000000000000000000000001 +0 295 0001000000000000001000001111111111000000000000000000000000000001 +0 294 0001000000000000001000001111111111000000000000000000000000000001 +0 293 0001000000000000001000001111111111000000000000000000000000000001 +0 292 0001000000000000001000001111111111000000000000000000000000000001 +0 291 0001000000000000001000001111111111000000000000000000000000000001 +0 290 0001000000000000001000001111111111000000000000000000000000000001 +0 289 0001000000000000001000001111111111000000000000000000000000000001 +0 288 0001000000000000001000001111111111000000000000000000000000000001 +0 287 0001000000000000001000001111111111000000000000000000000000000001 +0 286 0001000000000000001000001111111111000000000000000000000000000001 +0 285 0001000000000000001000001111111111000000000000000000000000000001 +0 284 0001000000000000001000001111111111000000000000000000000000000001 +0 283 0001000000000000001000001111111111000000000000000000000000000001 +0 282 0001000000000000001000001111111111000000000000000000000000000001 +0 281 0001000000000000001000001111111111000000000000000000000000000001 +0 280 0001000000000000001000001111111111000000000000000000000000000001 +0 279 0001000000000000001000001111111111000000000000000000000000000001 +0 278 0001000000000000001000001111111111000000000000000000000000000001 +0 277 0001000000000000001000001111111111000000000000000000000000000001 +0 276 0001000000000000001000001111111111000000000000000000000000000001 +0 275 0001000000000000001000001111111111000000000000000000000000000001 +0 274 0001000000000000001000001111111111000000000000000000000000000001 +0 273 0001000000000000001000001111111111000000000000000000000000000001 +0 272 0001000000000000001000001111111111000000000000000000000000000001 +0 271 0001000000000000001000001111111111000000000000000000000000000001 +0 270 0001000000000000001000001111111111000000000000000000000000000001 +0 269 0001000000000000001000001111111111000000000000000000000000000001 +0 268 0001000000000000001000001111111111000000000000000000000000000001 +0 267 0001000000000000001000001111111111000000000000000000000000000001 +0 266 0001000000000000001000001111111111000000000000000000000000000001 +0 265 0001000000000000001000001111111111000000000000000000000000000001 +0 264 0001000000000000001000001111111111000000000000000000000000000001 +0 263 0001000000000000001000001111111111000000000000000000000000000001 +0 262 0001000000000000001000001111111111000000000000000000000000000001 +0 261 0001000000000000001000001111111111000000000000000000000000000001 +0 260 0001000000000000001000001111111111000000000000000000000000000001 +0 259 0001000000000000001000001111111111000000000000000000000000000001 +0 258 0001000000000000001000001111111111000000000000000000000000000001 +0 257 0001000000000000001000001111111111000000000000000000000000000001 +0 256 0001000000000000001000001111111111000000000000000000000000000001 +0 255 0001000000000000001000001111111111000000000000000000000000000001 +0 254 0001000000000000001000001111111111000000000000000000000000000001 +0 253 0001000000000000001000001111111111000000000000000000000000000001 +0 252 0001000000000000001000001111111111000000000000000000000000000001 +0 251 0001000000000000001000001111111111000000000000000000000000000001 +0 250 0001000000000000001000001111111111000000000000000000000000000001 +0 249 0001000000000000001000001111111111000000000000000000000000000001 +0 248 0001000000000000001000001111111111000000000000000000000000000001 +0 247 0001000000000000001000001111111111000000000000000000000000000001 +0 246 0001000000000000001000001111111111000000000000000000000000000001 +0 245 0001000000000000001000001111111111000000000000000000000000000001 +0 244 0001000000000000001000001111111111000000000000000000000000000001 +0 243 0001000000000000001000001111111111000000000000000000000000000001 +0 242 0001000000000000001000001111111111000000000000000000000000000001 +0 241 0001000000000000001000001111111111000000000000000000000000000001 +0 240 0001000000000000001000001111111111000000000000000000000000000001 +0 239 0001000000000000001000001111111111000000000000000000000000000001 +0 238 0001000000000000001000001111111111000000000000000000000000000001 +0 237 0001000000000000001000001111111111000000000000000000000000000001 +0 236 0001000000000000001000001111111111000000000000000000000000000001 +0 235 0001000000000000001000001111111111000000000000000000000000000001 +0 234 0001000000000000001000001111111111000000000000000000000000000001 +0 233 0001000000000000001000001111111111000000000000000000000000000001 +0 232 0001000000000000001000001111111111000000000000000000000000000001 +0 231 0001000000000000001000001111111111000000000000000000000000000001 +0 230 0001000000000000001000001111111111000000000000000000000000000001 +0 229 0001000000000000001000001111111111000000000000000000000000000001 +0 228 0001000000000000001000001111111111000000000000000000000000000001 +0 227 0001000000000000001000001111111111000000000000000000000000000001 +0 226 0001000000000000001000001111111111000000000000000000000000000001 +0 225 0001000000000000001000001111111111000000000000000000000000000001 +0 224 0001000000000000001000001111111111000000000000000000000000000001 +0 223 0001000000000000001000001111111111000000000000000000000000000001 +0 222 0001000000000000001000001111111111000000000000000000000000000001 +0 221 0001000000000000001000001111111111000000000000000000000000000001 +0 220 0001000000000000001000001111111111000000000000000000000000000001 +0 219 0001000000000000001000001111111111000000000000000000000000000001 +0 218 0001000000000000001000001111111111000000000000000000000000000001 +0 217 0001000000000000001000001111111111000000000000000000000000000001 +0 216 0001000000000000001000001111111111000000000000000000000000000001 +0 215 0001000000000000001000001111111111000000000000000000000000000001 +0 214 0001000000000000001000001111111111000000000000000000000000000001 +0 213 0001000000000000001000001111111111000000000000000000000000000001 +0 212 0001000000000000001000001111111111000000000000000000000000000001 +0 211 0001000000000000001000001111111111000000000000000000000000000001 +0 210 0001000000000000001000001111111111000000000000000000000000000001 +0 209 0001000000000000001000001111111111000000000000000000000000000001 +0 208 0001000000000000001000001111111111000000000000000000000000000001 +0 207 0001000000000000001000001111111111000000000000000000000000000001 +0 206 0001000000000000001000001111111111000000000000000000000000000001 +0 205 0001000000000000001000001111111111000000000000000000000000000001 +0 204 0001000000000000001000001111111111000000000000000000000000000001 +0 203 0001000000000000001000001111111111000000000000000000000000000001 +0 202 0001000000000000001000001111111111000000000000000000000000000001 +0 201 0001000000000000001000001111111111000000000000000000000000000001 +0 200 0001000000000000001000001111111111000000000000000000000000000001 +0 199 0001000000000000001000001111111111000000000000000000000000000001 +0 198 0001000000000000001000001111111111000000000000000000000000000001 +0 197 0001000000000000001000001111111111000000000000000000000000000001 +0 196 0001000000000000001000001111111111000000000000000000000000000001 +0 195 0001000000000000001000001111111111000000000000000000000000000001 +0 194 0001000000000000001000001111111111000000000000000000000000000001 +0 193 0001000000000000001000001111111111000000000000000000000000000001 +0 192 0001000000000000001000001111111111000000000000000000000000000001 +0 191 0001000000000000001000001111111111000000000000000000000000000001 +0 190 0001000000000000001000001111111111000000000000000000000000000001 +0 189 0001000000000000001000001111111111000000000000000000000000000001 +0 188 0001000000000000001000001111111111000000000000000000000000000001 +0 187 0001000000000000001000001111111111000000000000000000000000000001 +0 186 0001000000000000001000001111111111000000000000000000000000000001 +0 185 0001000000000000001000001111111111000000000000000000000000000001 +0 184 0001000000000000001000001111111111000000000000000000000000000001 +0 183 0001000000000000001000001111111111000000000000000000000000000001 +0 182 0001000000000000001000001111111111000000000000000000000000000001 +0 181 0001000000000000001000001111111111000000000000000000000000000001 +0 180 0001000000000000001000001111111111000000000000000000000000000001 +0 179 0001000000000000001000001111111111000000000000000000000000000001 +0 178 0001000000000000001000001111111111000000000000000000000000000001 +0 177 0001000000000000001000001111111111000000000000000000000000000001 +0 176 0001000000000000001000001111111111000000000000000000000000000001 +0 175 0001000000000000001000001111111111000000000000000000000000000001 +0 174 0001000000000000001000001111111111000000000000000000000000000001 +0 173 0001000000000000001000001111111111000000000000000000000000000001 +0 172 0001000000000000001000001111111111000000000000000000000000000001 +0 171 0001000000000000001000001111111111000000000000000000000000000001 +0 170 0001000000000000001000001111111111000000000000000000000000000001 +0 169 0001000000000000001000001111111111000000000000000000000000000001 +0 168 0001000000000000001000001111111111000000000000000000000000000001 +0 167 0001000000000000001000001111111111000000000000000000000000000001 +0 166 0001000000000000001000001111111111000000000000000000000000000001 +0 165 0001000000000000001000001111111111000000000000000000000000000001 +0 164 0001000000000000001000001111111111000000000000000000000000000001 +0 163 0001000000000000001000001111111111000000000000000000000000000001 +0 162 0001000000000000001000001111111111000000000000000000000000000001 +0 161 0001000000000000001000001111111111000000000000000000000000000001 +0 160 0001000000000000001000001111111111000000000000000000000000000001 +0 159 0001000000000000001000001111111111000000000000000000000000000001 +0 158 0001000000000000001000001111111111000000000000000000000000000001 +0 157 0001000000000000001000001111111111000000000000000000000000000001 +0 156 0001000000000000001000001111111111000000000000000000000000000001 +0 155 0001000000000000001000001111111111000000000000000000000000000001 +0 154 0001000000000000001000001111111111000000000000000000000000000001 +0 153 0001000000000000001000001111111111000000000000000000000000000001 +0 152 0001000000000000001000001111111111000000000000000000000000000001 +0 151 0001000000000000001000001111111111000000000000000000000000000001 +0 150 0001000000000000001000001111111111000000000000000000000000000001 +0 149 0001000000000000001000001111111111000000000000000000000000000001 +0 148 0001000000000000001000001111111111000000000000000000000000000001 +0 147 0001000000000000001000001111111111000000000000000000000000000001 +0 146 0001000000000000001000001111111111000000000000000000000000000001 +0 145 0001000000000000001000001111111111000000000000000000000000000001 +0 144 0001000000000000001000001111111111000000000000000000000000000001 +0 143 0001000000000000001000001111111111000000000000000000000000000001 +0 142 0001000000000000001000001111111111000000000000000000000000000001 +0 141 0001000000000000001000001111111111000000000000000000000000000001 +0 140 0001000000000000001000001111111111000000000000000000000000000001 +0 139 0001000000000000001000001111111111000000000000000000000000000001 +0 138 0001000000000000001000001111111111000000000000000000000000000001 +0 137 0001000000000000001000001111111111000000000000000000000000000001 +0 136 0001000000000000001000001111111111000000000000000000000000000001 +0 135 0001000000000000001000001111111111000000000000000000000000000001 +0 134 0001000000000000001000001111111111000000000000000000000000000001 +0 133 0001000000000000001000001111111111000000000000000000000000000001 +0 132 0001000000000000001000001111111111000000000000000000000000000001 +0 131 0001000000000000001000001111111111000000000000000000000000000001 +0 130 0001000000000000001000001111111111000000000000000000000000000001 +0 129 0001000000000000001000001111111111000000000000000000000000000001 +0 128 0001000000000000001000001111111111000000000000000000000000000001 +0 127 0001000000000000001000001111111111000000000000000000000000000001 +0 126 0001000000000000001000001111111111000000000000000000000000000001 +0 125 0001000000000000001000001111111111000000000000000000000000000001 +0 124 0001000000000000001000001111111111000000000000000000000000000001 +0 123 0001000000000000001000001111111111000000000000000000000000000001 +0 122 0001000000000000001000001111111111000000000000000000000000000001 +0 121 0001000000000000001000001111111111000000000000000000000000000001 +0 120 0001000000000000001000001111111111000000000000000000000000000001 +0 119 0001000000000000001000001111111111000000000000000000000000000001 +0 118 0001000000000000001000001111111111000000000000000000000000000001 +0 117 0001000000000000001000001111111111000000000000000000000000000001 +0 116 0001000000000000001000001111111111000000000000000000000000000001 +0 115 0001000000000000001000001111111111000000000000000000000000000001 +0 114 0001000000000000001000001111111111000000000000000000000000000001 +0 113 0001000000000000001000001111111111000000000000000000000000000001 +0 112 0001000000000000001000001111111111000000000000000000000000000001 +0 111 0001000000000000001000001111111111000000000000000000000000000001 +0 110 0001000000000000001000001111111111000000000000000000000000000001 +0 109 0001000000000000001000001111111111000000000000000000000000000001 +0 108 0001000000000000001000001111111111000000000000000000000000000001 +0 107 0001000000000000001000001111111111000000000000000000000000000001 +0 106 0001000000000000001000001111111111000000000000000000000000000001 +0 105 0001000000000000001000001111111111000000000000000000000000000001 +0 104 0001000000000000001000001111111111000000000000000000000000000001 +0 103 0001000000000000001000001111111111000000000000000000000000000001 +0 102 0001000000000000001000001111111111000000000000000000000000000001 +0 101 0001000000000000001000001111111111000000000000000000000000000001 +0 100 0001000000000000001000001111111111000000000000000000000000000001 +0 99 0001000000000000001000001111111111000000000000000000000000000001 +0 98 0001000000000000001000001111111111000000000000000000000000000001 +0 97 0001000000000000001000001111111111000000000000000000000000000001 +0 96 0001000000000000001000001111111111000000000000000000000000000001 +0 95 0001000000000000001000001111111111000000000000000000000000000001 +0 94 0001000000000000001000001111111111000000000000000000000000000001 +0 93 0001000000000000001000001111111111000000000000000000000000000001 +0 92 0001000000000000001000001111111111000000000000000000000000000001 +0 91 0001000000000000001000001111111111000000000000000000000000000001 +0 90 0001000000000000001000001111111111000000000000000000000000000001 +0 89 0001000000000000001000001111111111000000000000000000000000000001 +0 88 0001000000000000001000001111111111000000000000000000000000000001 +0 87 0001000000000000001000001111111111000000000000000000000000000001 +0 86 0001000000000000001000001111111111000000000000000000000000000001 +0 85 0001000000000000001000001111111111000000000000000000000000000001 +0 84 0001000000000000001000001111111111000000000000000000000000000001 +0 83 0001000000000000001000001111111111000000000000000000000000000001 +0 82 0001000000000000001000001111111111000000000000000000000000000001 +0 81 0001000000000000001000001111111111000000000000000000000000000001 +0 80 0001000000000000001000001111111111000000000000000000000000000001 +0 79 0001000000000000001000001111111111000000000000000000000000000001 +0 78 0001000000000000001000001111111111000000000000000000000000000001 +0 77 0001000000000000001000001111111111000000000000000000000000000001 +0 76 0001000000000000001000001111111111000000000000000000000000000001 +0 75 0001000000000000001000001111111111000000000000000000000000000001 +0 74 0001000000000000001000001111111111000000000000000000000000000001 +0 73 0001000000000000001000001111111111000000000000000000000000000001 +0 72 0001000000000000001000001111111111000000000000000000000000000001 +0 71 0001000000000000001000001111111111000000000000000000000000000001 +0 70 0001000000000000001000001111111111000000000000000000000000000001 +0 69 0001000000000000001000001111111111000000000000000000000000000001 +0 68 0001000000000000001000001111111111000000000000000000000000000001 +0 67 0001000000000000001000001111111111000000000000000000000000000001 +0 66 0001000000000000001000001111111111000000000000000000000000000001 +0 65 0001000000000000001000001111111111000000000000000000000000000001 +0 64 0001000000000000001000001111111111000000000000000000000000000001 +0 63 0001000000000000001000001111111111000000000000000000000000000001 +0 62 0001000000000000001000001111111111000000000000000000000000000001 +0 61 0001000000000000001000001111111111000000000000000000000000000001 +0 60 0001000000000000001000001111111111000000000000000000000000000001 +0 59 0001000000000000001000001111111111000000000000000000000000000001 +0 58 0001000000000000001000001111111111000000000000000000000000000001 +0 57 0001000000000000001000001111111111000000000000000000000000000001 +0 56 0001000000000000001000001111111111000000000000000000000000000001 +0 55 0001000000000000001000001111111111000000000000000000000000000001 +0 54 0001000000000000001000001111111111000000000000000000000000000001 +0 53 0001000000000000001000001111111111000000000000000000000000000001 +0 52 0001000000000000001000001111111111000000000000000000000000000001 +0 51 0001000000000000001000001111111111000000000000000000000000000001 +0 50 0001000000000000001000001111111111000000000000000000000000000001 +0 49 0001000000000000001000001111111111000000000000000000000000000001 +0 48 0001000000000000001000001111111111000000000000000000000000000001 +0 47 0001000000000000001000001111111111000000000000000000000000000001 +0 46 0001000000000000001000001111111111000000000000000000000000000001 +0 45 0001000000000000001000001111111111000000000000000000000000000001 +0 44 0001000000000000001000001111111111000000000000000000000000000001 +0 43 0001000000000000001000001111111111000000000000000000000000000001 +0 42 0001000000000000001000001111111111000000000000000000000000000001 +0 41 0001000000000000001000001111111111000000000000000000000000000001 +0 40 0001000000000000001000001111111111000000000000000000000000000001 +0 39 0001000000000000001000001111111111000000000000000000000000000001 +0 38 0001000000000000001000001111111111000000000000000000000000000001 +0 37 0001000000000000001000001111111111000000000000000000000000000001 +0 36 0001000000000000001000001111111111000000000000000000000000000001 +0 35 0001000000000000001000001111111111000000000000000000000000000001 +0 34 0001000000000000001000001111111111000000000000000000000000000001 +0 33 0001000000000000001000001111111111000000000000000000000000000001 +0 32 0001000000000000001000001111111111000000000000000000000000000001 +0 31 0001000000000000001000001111111111000000000000000000000000000001 +0 30 0001000000000000001000001111111111000000000000000000000000000001 +0 29 0001000000000000001000001111111111000000000000000000000000000001 +0 28 0001000000000000001000001111111111000000000000000000000000000001 +0 27 0001000000000000001000001111111111000000000000000000000000000001 +0 26 0001000000000000001000001111111111000000000000000000000000000001 +0 25 0001000000000000001000001111111111000000000000000000000000000001 +0 24 0001000000000000001000001111111111000000000000000000000000000001 +0 23 0001000000000000001000001111111111000000000000000000000000000001 +0 22 0001000000000000001000001111111111000000000000000000000000000001 +0 21 0001000000000000001000001111111111000000000000000000000000000001 +0 20 0001000000000000001000001111111111000000000000000000000000000001 +0 19 0001000000000000001000001111111111000000000000000000000000000001 +0 18 0001000000000000001000001111111111000000000000000000000000000001 +0 17 0001000000000000001000001111111111000000000000000000000000000001 +0 16 0001000000000000001000001111111111000000000000000000000000000001 +0 15 0001000000000000001000001111111111000000000000000000000000000001 +0 14 0001000000000000001000001111111111000000000000000000000000000001 +0 13 0001000000000000001000001111111111000000000000000000000000000001 +0 12 0001000000000000001000001111111111000000000000000000000000000001 +0 11 0001000000000000001000001111111111000000000000000000000000000001 +0 10 0001000000000000001000001111111111000000000000000000000000000001 +0 9 0001000000000000001000001111111111000000000000000000000000000001 +0 8 0001000000000000001000001111111111000000000000000000000000000001 +0 7 0001000000000000001000001111111111000000000000000000000000000001 +0 6 0001000000000000001000001111111111000000000000000000000000000001 +0 5 0001000000000000001000001111111111000000000000000000000000000001 +0 4 0001000000000000001000001111111111000000000000000000000000000001 +0 3 0001000000000000001000001111111111000000000000000000000000000001 +0 2 0001000000000000001000001111111111000000000000000000000000000001 +0 1 0001000000000000001000001111111111000000000000000000000000000001 +0 0 0001000000000000001000001111111111000000000000000000000000000001 +0 1023 0001000000000010110110111011111111000000000000000000000000000001 +0 1022 0001000000000010110110111011111111000000000000000000000000000001 +0 1021 0001000000000010110110111011111111000000000000000000000000000001 +0 1020 0001000000000010110110111011111111000000000000000000000000000001 +0 1019 0001000000000010110110111011111111000000000000000000000000000001 +0 1018 0001000000000010110110111011111111000000000000000000000000000001 +0 1017 0001000000000010110110111011111111000000000000000000000000000001 +0 1016 0001000000000010110110111011111111000000000000000000000000000001 +0 1015 0001000000000010110110111011111111000000000000000000000000000001 +0 1014 0001000000000010110110111011111111000000000000000000000000000001 +0 1013 0001000000000010110110111011111111000000000000000000000000000001 +0 1012 0001000000000010110110111011111111000000000000000000000000000001 +0 1011 0001000000000010110110111011111111000000000000000000000000000001 +0 1010 0001000000000010110110111011111111000000000000000000000000000001 +0 1009 0001000000000010110110111011111111000000000000000000000000000001 +0 1008 0001000000000010110110111011111111000000000000000000000000000001 +0 1007 0001000000000010110110111011111111000000000000000000000000000001 +0 1006 0001000000000010110110111011111111000000000000000000000000000001 +0 1005 0001000000000010110110111011111111000000000000000000000000000001 +0 1004 0001000000000010110110111011111111000000000000000000000000000001 +0 1003 0001000000000010110110111011111111000000000000000000000000000001 +0 1002 0001000000000010110110111011111111000000000000000000000000000001 +0 1001 0001000000000010110110111011111111000000000000000000000000000001 +0 1000 0001000000000010110110111011111111000000000000000000000000000001 +0 999 0001000000000010110110111011111111000000000000000000000000000001 +0 998 0001000000000010110110111011111111000000000000000000000000000001 +0 997 0001000000000010110110111011111111000000000000000000000000000001 +0 996 0001000000000010110110111011111111000000000000000000000000000001 +0 995 0001000000000010110110111011111111000000000000000000000000000001 +0 994 0001000000000010110110111011111111000000000000000000000000000001 +0 993 0001000000000010110110111011111111000000000000000000000000000001 +0 992 0001000000000010110110111011111111000000000000000000000000000001 +0 991 0001000000000010110110111011111111000000000000000000000000000001 +0 990 0001000000000010110110111011111111000000000000000000000000000001 +0 989 0001000000000010110110111011111111000000000000000000000000000001 +0 988 0001000000000010110110111011111111000000000000000000000000000001 +0 987 0001000000000010110110111011111111000000000000000000000000000001 +0 986 0001000000000010110110111011111111000000000000000000000000000001 +0 985 0001000000000010110110111011111111000000000000000000000000000001 +0 984 0001000000000010110110111011111111000000000000000000000000000001 +0 983 0001000000000010110110111011111111000000000000000000000000000001 +0 982 0001000000000010110110111011111111000000000000000000000000000001 +0 981 0001000000000010110110111011111111000000000000000000000000000001 +0 980 0001000000000010110110111011111111000000000000000000000000000001 +0 979 0001000000000010110110111011111111000000000000000000000000000001 +0 978 0001000000000010110110111011111111000000000000000000000000000001 +0 977 0001000000000010110110111011111111000000000000000000000000000001 +0 976 0001000000000010110110111011111111000000000000000000000000000001 +0 975 0001000000000010110110111011111111000000000000000000000000000001 +0 974 0001000000000010110110111011111111000000000000000000000000000001 +0 973 0001000000000010110110111011111111000000000000000000000000000001 +0 972 0001000000000010110110111011111111000000000000000000000000000001 +0 971 0001000000000010110110111011111111000000000000000000000000000001 +0 970 0001000000000010110110111011111111000000000000000000000000000001 +0 969 0001000000000010110110111011111111000000000000000000000000000001 +0 968 0001000000000010110110111011111111000000000000000000000000000001 +0 967 0001000000000010110110111011111111000000000000000000000000000001 +0 966 0001000000000010110110111011111111000000000000000000000000000001 +0 965 0001000000000010110110111011111111000000000000000000000000000001 +0 964 0001000000000010110110111011111111000000000000000000000000000001 +0 963 0001000000000010110110111011111111000000000000000000000000000001 +0 962 0001000000000010110110111011111111000000000000000000000000000001 +0 961 0001000000000010110110111011111111000000000000000000000000000001 +0 960 0001000000000010110110111011111111000000000000000000000000000001 +0 959 0001000000000010110110111011111111000000000000000000000000000001 +0 958 0001000000000010110110111011111111000000000000000000000000000001 +0 957 0001000000000010110110111011111111000000000000000000000000000001 +0 956 0001000000000010110110111011111111000000000000000000000000000001 +0 955 0001000000000010110110111011111111000000000000000000000000000001 +0 954 0001000000000010110110111011111111000000000000000000000000000001 +0 953 0001000000000010110110111011111111000000000000000000000000000001 +0 952 0001000000000010110110111011111111000000000000000000000000000001 +0 951 0001000000000010110110111011111111000000000000000000000000000001 +0 950 0001000000000010110110111011111111000000000000000000000000000001 +0 949 0001000000000010110110111011111111000000000000000000000000000001 +0 948 0001000000000010110110111011111111000000000000000000000000000001 +0 947 0001000000000010110110111011111111000000000000000000000000000001 +0 946 0001000000000010110110111011111111000000000000000000000000000001 +0 945 0001000000000010110110111011111111000000000000000000000000000001 +0 944 0001000000000010110110111011111111000000000000000000000000000001 +0 943 0001000000000010110110111011111111000000000000000000000000000001 +0 942 0001000000000010110110111011111111000000000000000000000000000001 +0 941 0001000000000010110110111011111111000000000000000000000000000001 +0 940 0001000000000010110110111011111111000000000000000000000000000001 +0 939 0001000000000010110110111011111111000000000000000000000000000001 +0 938 0001000000000010110110111011111111000000000000000000000000000001 +0 937 0001000000000010110110111011111111000000000000000000000000000001 +0 936 0001000000000010110110111011111111000000000000000000000000000001 +0 935 0001000000000010110110111011111111000000000000000000000000000001 +0 934 0001000000000010110110111011111111000000000000000000000000000001 +0 933 0001000000000010110110111011111111000000000000000000000000000001 +0 932 0001000000000010110110111011111111000000000000000000000000000001 +0 931 0001000000000010110110111011111111000000000000000000000000000001 +0 930 0001000000000010110110111011111111000000000000000000000000000001 +0 929 0001000000000010110110111011111111000000000000000000000000000001 +0 928 0001000000000010110110111011111111000000000000000000000000000001 +0 927 0001000000000010110110111011111111000000000000000000000000000001 +0 926 0001000000000010110110111011111111000000000000000000000000000001 +0 925 0001000000000010110110111011111111000000000000000000000000000001 +0 924 0001000000000010110110111011111111000000000000000000000000000001 +0 923 0001000000000010110110111011111111000000000000000000000000000001 +0 922 0001000000000010110110111011111111000000000000000000000000000001 +0 921 0001000000000010110110111011111111000000000000000000000000000001 +0 920 0001000000000010110110111011111111000000000000000000000000000001 +0 919 0001000000000010110110111011111111000000000000000000000000000001 +0 918 0001000000000010110110111011111111000000000000000000000000000001 +0 917 0001000000000010110110111011111111000000000000000000000000000001 +0 916 0001000000000010110110111011111111000000000000000000000000000001 +0 915 0001000000000010110110111011111111000000000000000000000000000001 +0 914 0001000000000010110110111011111111000000000000000000000000000001 +0 913 0001000000000010110110111011111111000000000000000000000000000001 +0 912 0001000000000010110110111011111111000000000000000000000000000001 +0 911 0001000000000010110110111011111111000000000000000000000000000001 +0 910 0001000000000010110110111011111111000000000000000000000000000001 +0 909 0001000000000010110110111011111111000000000000000000000000000001 +0 908 0001000000000010110110111011111111000000000000000000000000000001 +0 907 0001000000000010110110111011111111000000000000000000000000000001 +0 906 0001000000000010110110111011111111000000000000000000000000000001 +0 905 0001000000000010110110111011111111000000000000000000000000000001 +0 904 0001000000000010110110111011111111000000000000000000000000000001 +0 903 0001000000000010110110111011111111000000000000000000000000000001 +0 902 0001000000000010110110111011111111000000000000000000000000000001 +0 901 0001000000000010110110111011111111000000000000000000000000000001 +0 900 0001000000000010110110111011111111000000000000000000000000000001 +0 899 0001000000000010110110111011111111000000000000000000000000000001 +0 898 0001000000000010110110111011111111000000000000000000000000000001 +0 897 0001000000000010110110111011111111000000000000000000000000000001 +0 896 0001000000000010110110111011111111000000000000000000000000000001 +0 767 0001000000000010110110111011111111000000000000000000000000000001 +0 766 0001000000000010110110111011111111000000000000000000000000000001 +0 765 0001000000000010110110111011111111000000000000000000000000000001 +0 764 0001000000000010110110111011111111000000000000000000000000000001 +0 763 0001000000000010110110111011111111000000000000000000000000000001 +0 762 0001000000000010110110111011111111000000000000000000000000000001 +0 761 0001000000000010110110111011111111000000000000000000000000000001 +0 760 0001000000000010110110111011111111000000000000000000000000000001 +0 759 0001000000000010110110111011111111000000000000000000000000000001 +0 758 0001000000000010110110111011111111000000000000000000000000000001 +0 757 0001000000000010110110111011111111000000000000000000000000000001 +0 756 0001000000000010110110111011111111000000000000000000000000000001 +0 755 0001000000000010110110111011111111000000000000000000000000000001 +0 754 0001000000000010110110111011111111000000000000000000000000000001 +0 753 0001000000000010110110111011111111000000000000000000000000000001 +0 752 0001000000000010110110111011111111000000000000000000000000000001 +0 751 0001000000000010110110111011111111000000000000000000000000000001 +0 750 0001000000000010110110111011111111000000000000000000000000000001 +0 749 0001000000000010110110111011111111000000000000000000000000000001 +0 748 0001000000000010110110111011111111000000000000000000000000000001 +0 747 0001000000000010110110111011111111000000000000000000000000000001 +0 746 0001000000000010110110111011111111000000000000000000000000000001 +0 745 0001000000000010110110111011111111000000000000000000000000000001 +0 744 0001000000000010110110111011111111000000000000000000000000000001 +0 743 0001000000000010110110111011111111000000000000000000000000000001 +0 742 0001000000000010110110111011111111000000000000000000000000000001 +0 741 0001000000000010110110111011111111000000000000000000000000000001 +0 740 0001000000000010110110111011111111000000000000000000000000000001 +0 739 0001000000000010110110111011111111000000000000000000000000000001 +0 738 0001000000000010110110111011111111000000000000000000000000000001 +0 737 0001000000000010110110111011111111000000000000000000000000000001 +0 736 0001000000000010110110111011111111000000000000000000000000000001 +0 735 0001000000000010110110111011111111000000000000000000000000000001 +0 734 0001000000000010110110111011111111000000000000000000000000000001 +0 733 0001000000000010110110111011111111000000000000000000000000000001 +0 732 0001000000000010110110111011111111000000000000000000000000000001 +0 731 0001000000000010110110111011111111000000000000000000000000000001 +0 730 0001000000000010110110111011111111000000000000000000000000000001 +0 729 0001000000000010110110111011111111000000000000000000000000000001 +0 728 0001000000000010110110111011111111000000000000000000000000000001 +0 727 0001000000000010110110111011111111000000000000000000000000000001 +0 726 0001000000000010110110111011111111000000000000000000000000000001 +0 725 0001000000000010110110111011111111000000000000000000000000000001 +0 724 0001000000000010110110111011111111000000000000000000000000000001 +0 723 0001000000000010110110111011111111000000000000000000000000000001 +0 722 0001000000000010110110111011111111000000000000000000000000000001 +0 721 0001000000000010110110111011111111000000000000000000000000000001 +0 720 0001000000000010110110111011111111000000000000000000000000000001 +0 719 0001000000000010110110111011111111000000000000000000000000000001 +0 718 0001000000000010110110111011111111000000000000000000000000000001 +0 717 0001000000000010110110111011111111000000000000000000000000000001 +0 716 0001000000000010110110111011111111000000000000000000000000000001 +0 715 0001000000000010110110111011111111000000000000000000000000000001 +0 714 0001000000000010110110111011111111000000000000000000000000000001 +0 713 0001000000000010110110111011111111000000000000000000000000000001 +0 712 0001000000000010110110111011111111000000000000000000000000000001 +0 711 0001000000000010110110111011111111000000000000000000000000000001 +0 710 0001000000000010110110111011111111000000000000000000000000000001 +0 709 0001000000000010110110111011111111000000000000000000000000000001 +0 708 0001000000000010110110111011111111000000000000000000000000000001 +0 707 0001000000000010110110111011111111000000000000000000000000000001 +0 706 0001000000000010110110111011111111000000000000000000000000000001 +0 705 0001000000000010110110111011111111000000000000000000000000000001 +0 704 0001000000000010110110111011111111000000000000000000000000000001 +0 703 0001000000000010110110111011111111000000000000000000000000000001 +0 702 0001000000000010110110111011111111000000000000000000000000000001 +0 701 0001000000000010110110111011111111000000000000000000000000000001 +0 700 0001000000000010110110111011111111000000000000000000000000000001 +0 699 0001000000000010110110111011111111000000000000000000000000000001 +0 698 0001000000000010110110111011111111000000000000000000000000000001 +0 697 0001000000000010110110111011111111000000000000000000000000000001 +0 696 0001000000000010110110111011111111000000000000000000000000000001 +0 695 0001000000000010110110111011111111000000000000000000000000000001 +0 694 0001000000000010110110111011111111000000000000000000000000000001 +0 693 0001000000000010110110111011111111000000000000000000000000000001 +0 692 0001000000000010110110111011111111000000000000000000000000000001 +0 691 0001000000000010110110111011111111000000000000000000000000000001 +0 690 0001000000000010110110111011111111000000000000000000000000000001 +0 689 0001000000000010110110111011111111000000000000000000000000000001 +0 688 0001000000000010110110111011111111000000000000000000000000000001 +0 687 0001000000000010110110111011111111000000000000000000000000000001 +0 686 0001000000000010110110111011111111000000000000000000000000000001 +0 685 0001000000000010110110111011111111000000000000000000000000000001 +0 684 0001000000000010110110111011111111000000000000000000000000000001 +0 683 0001000000000010110110111011111111000000000000000000000000000001 +0 682 0001000000000010110110111011111111000000000000000000000000000001 +0 681 0001000000000010110110111011111111000000000000000000000000000001 +0 680 0001000000000010110110111011111111000000000000000000000000000001 +0 679 0001000000000010110110111011111111000000000000000000000000000001 +0 678 0001000000000010110110111011111111000000000000000000000000000001 +0 677 0001000000000010110110111011111111000000000000000000000000000001 +0 676 0001000000000010110110111011111111000000000000000000000000000001 +0 675 0001000000000010110110111011111111000000000000000000000000000001 +0 674 0001000000000010110110111011111111000000000000000000000000000001 +0 673 0001000000000010110110111011111111000000000000000000000000000001 +0 672 0001000000000010110110111011111111000000000000000000000000000001 +0 671 0001000000000010110110111011111111000000000000000000000000000001 +0 670 0001000000000010110110111011111111000000000000000000000000000001 +0 669 0001000000000010110110111011111111000000000000000000000000000001 +0 668 0001000000000010110110111011111111000000000000000000000000000001 +0 667 0001000000000010110110111011111111000000000000000000000000000001 +0 666 0001000000000010110110111011111111000000000000000000000000000001 +0 665 0001000000000010110110111011111111000000000000000000000000000001 +0 664 0001000000000010110110111011111111000000000000000000000000000001 +0 663 0001000000000010110110111011111111000000000000000000000000000001 +0 662 0001000000000010110110111011111111000000000000000000000000000001 +0 661 0001000000000010110110111011111111000000000000000000000000000001 +0 660 0001000000000010110110111011111111000000000000000000000000000001 +0 659 0001000000000010110110111011111111000000000000000000000000000001 +0 658 0001000000000010110110111011111111000000000000000000000000000001 +0 657 0001000000000010110110111011111111000000000000000000000000000001 +0 656 0001000000000010110110111011111111000000000000000000000000000001 +0 655 0001000000000010110110111011111111000000000000000000000000000001 +0 654 0001000000000010110110111011111111000000000000000000000000000001 +0 653 0001000000000010110110111011111111000000000000000000000000000001 +0 652 0001000000000010110110111011111111000000000000000000000000000001 +0 651 0001000000000010110110111011111111000000000000000000000000000001 +0 650 0001000000000010110110111011111111000000000000000000000000000001 +0 649 0001000000000010110110111011111111000000000000000000000000000001 +0 648 0001000000000010110110111011111111000000000000000000000000000001 +0 647 0001000000000010110110111011111111000000000000000000000000000001 +0 646 0001000000000010110110111011111111000000000000000000000000000001 +0 645 0001000000000010110110111011111111000000000000000000000000000001 +0 644 0001000000000010110110111011111111000000000000000000000000000001 +0 643 0001000000000010110110111011111111000000000000000000000000000001 +0 642 0001000000000010110110111011111111000000000000000000000000000001 +0 641 0001000000000010110110111011111111000000000000000000000000000001 +0 640 0001000000000010110110111011111111000000000000000000000000000001 +0 511 0001000000000010110110111011111111000000000000000000000000000001 +0 510 0001000000000010110110111011111111000000000000000000000000000001 +0 509 0001000000000010110110111011111111000000000000000000000000000001 +0 508 0001000000000010110110111011111111000000000000000000000000000001 +0 507 0001000000000010110110111011111111000000000000000000000000000001 +0 506 0001000000000010110110111011111111000000000000000000000000000001 +0 505 0001000000000010110110111011111111000000000000000000000000000001 +0 504 0001000000000010110110111011111111000000000000000000000000000001 +0 503 0001000000000010110110111011111111000000000000000000000000000001 +0 502 0001000000000010110110111011111111000000000000000000000000000001 +0 501 0001000000000010110110111011111111000000000000000000000000000001 +0 500 0001000000000010110110111011111111000000000000000000000000000001 +0 499 0001000000000010110110111011111111000000000000000000000000000001 +0 498 0001000000000010110110111011111111000000000000000000000000000001 +0 497 0001000000000010110110111011111111000000000000000000000000000001 +0 496 0001000000000010110110111011111111000000000000000000000000000001 +0 495 0001000000000010110110111011111111000000000000000000000000000001 +0 494 0001000000000010110110111011111111000000000000000000000000000001 +0 493 0001000000000010110110111011111111000000000000000000000000000001 +0 492 0001000000000010110110111011111111000000000000000000000000000001 +0 491 0001000000000010110110111011111111000000000000000000000000000001 +0 490 0001000000000010110110111011111111000000000000000000000000000001 +0 489 0001000000000010110110111011111111000000000000000000000000000001 +0 488 0001000000000010110110111011111111000000000000000000000000000001 +0 487 0001000000000010110110111011111111000000000000000000000000000001 +0 486 0001000000000010110110111011111111000000000000000000000000000001 +0 485 0001000000000010110110111011111111000000000000000000000000000001 +0 484 0001000000000010110110111011111111000000000000000000000000000001 +0 483 0001000000000010110110111011111111000000000000000000000000000001 +0 482 0001000000000010110110111011111111000000000000000000000000000001 +0 481 0001000000000010110110111011111111000000000000000000000000000001 +0 480 0001000000000010110110111011111111000000000000000000000000000001 +0 479 0001000000000010110110111011111111000000000000000000000000000001 +0 478 0001000000000010110110111011111111000000000000000000000000000001 +0 477 0001000000000010110110111011111111000000000000000000000000000001 +0 476 0001000000000010110110111011111111000000000000000000000000000001 +0 475 0001000000000010110110111011111111000000000000000000000000000001 +0 474 0001000000000010110110111011111111000000000000000000000000000001 +0 473 0001000000000010110110111011111111000000000000000000000000000001 +0 472 0001000000000010110110111011111111000000000000000000000000000001 +0 471 0001000000000010110110111011111111000000000000000000000000000001 +0 470 0001000000000010110110111011111111000000000000000000000000000001 +0 469 0001000000000010110110111011111111000000000000000000000000000001 +0 468 0001000000000010110110111011111111000000000000000000000000000001 +0 467 0001000000000010110110111011111111000000000000000000000000000001 +0 466 0001000000000010110110111011111111000000000000000000000000000001 +0 465 0001000000000010110110111011111111000000000000000000000000000001 +0 464 0001000000000010110110111011111111000000000000000000000000000001 +0 463 0001000000000010110110111011111111000000000000000000000000000001 +0 462 0001000000000010110110111011111111000000000000000000000000000001 +0 461 0001000000000010110110111011111111000000000000000000000000000001 +0 460 0001000000000010110110111011111111000000000000000000000000000001 +0 459 0001000000000010110110111011111111000000000000000000000000000001 +0 458 0001000000000010110110111011111111000000000000000000000000000001 +0 457 0001000000000010110110111011111111000000000000000000000000000001 +0 456 0001000000000010110110111011111111000000000000000000000000000001 +0 455 0001000000000010110110111011111111000000000000000000000000000001 +0 454 0001000000000010110110111011111111000000000000000000000000000001 +0 453 0001000000000010110110111011111111000000000000000000000000000001 +0 452 0001000000000010110110111011111111000000000000000000000000000001 +0 451 0001000000000010110110111011111111000000000000000000000000000001 +0 450 0001000000000010110110111011111111000000000000000000000000000001 +0 449 0001000000000010110110111011111111000000000000000000000000000001 +0 448 0001000000000010110110111011111111000000000000000000000000000001 +0 447 0001000000000010110110111011111111000000000000000000000000000001 +0 446 0001000000000010110110111011111111000000000000000000000000000001 +0 445 0001000000000010110110111011111111000000000000000000000000000001 +0 444 0001000000000010110110111011111111000000000000000000000000000001 +0 443 0001000000000010110110111011111111000000000000000000000000000001 +0 442 0001000000000010110110111011111111000000000000000000000000000001 +0 441 0001000000000010110110111011111111000000000000000000000000000001 +0 440 0001000000000010110110111011111111000000000000000000000000000001 +0 439 0001000000000010110110111011111111000000000000000000000000000001 +0 438 0001000000000010110110111011111111000000000000000000000000000001 +0 437 0001000000000010110110111011111111000000000000000000000000000001 +0 436 0001000000000010110110111011111111000000000000000000000000000001 +0 435 0001000000000010110110111011111111000000000000000000000000000001 +0 434 0001000000000010110110111011111111000000000000000000000000000001 +0 433 0001000000000010110110111011111111000000000000000000000000000001 +0 432 0001000000000010110110111011111111000000000000000000000000000001 +0 431 0001000000000010110110111011111111000000000000000000000000000001 +0 430 0001000000000010110110111011111111000000000000000000000000000001 +0 429 0001000000000010110110111011111111000000000000000000000000000001 +0 428 0001000000000010110110111011111111000000000000000000000000000001 +0 427 0001000000000010110110111011111111000000000000000000000000000001 +0 426 0001000000000010110110111011111111000000000000000000000000000001 +0 425 0001000000000010110110111011111111000000000000000000000000000001 +0 424 0001000000000010110110111011111111000000000000000000000000000001 +0 423 0001000000000010110110111011111111000000000000000000000000000001 +0 422 0001000000000010110110111011111111000000000000000000000000000001 +0 421 0001000000000010110110111011111111000000000000000000000000000001 +0 420 0001000000000010110110111011111111000000000000000000000000000001 +0 419 0001000000000010110110111011111111000000000000000000000000000001 +0 418 0001000000000010110110111011111111000000000000000000000000000001 +0 417 0001000000000010110110111011111111000000000000000000000000000001 +0 416 0001000000000010110110111011111111000000000000000000000000000001 +0 415 0001000000000010110110111011111111000000000000000000000000000001 +0 414 0001000000000010110110111011111111000000000000000000000000000001 +0 413 0001000000000010110110111011111111000000000000000000000000000001 +0 412 0001000000000010110110111011111111000000000000000000000000000001 +0 411 0001000000000010110110111011111111000000000000000000000000000001 +0 410 0001000000000010110110111011111111000000000000000000000000000001 +0 409 0001000000000010110110111011111111000000000000000000000000000001 +0 408 0001000000000010110110111011111111000000000000000000000000000001 +0 407 0001000000000010110110111011111111000000000000000000000000000001 +0 406 0001000000000010110110111011111111000000000000000000000000000001 +0 405 0001000000000010110110111011111111000000000000000000000000000001 +0 404 0001000000000010110110111011111111000000000000000000000000000001 +0 403 0001000000000010110110111011111111000000000000000000000000000001 +0 402 0001000000000010110110111011111111000000000000000000000000000001 +0 401 0001000000000010110110111011111111000000000000000000000000000001 +0 400 0001000000000010110110111011111111000000000000000000000000000001 +0 399 0001000000000010110110111011111111000000000000000000000000000001 +0 398 0001000000000010110110111011111111000000000000000000000000000001 +0 397 0001000000000010110110111011111111000000000000000000000000000001 +0 396 0001000000000010110110111011111111000000000000000000000000000001 +0 395 0001000000000010110110111011111111000000000000000000000000000001 +0 394 0001000000000010110110111011111111000000000000000000000000000001 +0 393 0001000000000010110110111011111111000000000000000000000000000001 +0 392 0001000000000010110110111011111111000000000000000000000000000001 +0 391 0001000000000010110110111011111111000000000000000000000000000001 +0 390 0001000000000010110110111011111111000000000000000000000000000001 +0 389 0001000000000010110110111011111111000000000000000000000000000001 +0 388 0001000000000010110110111011111111000000000000000000000000000001 +0 387 0001000000000010110110111011111111000000000000000000000000000001 +0 386 0001000000000010110110111011111111000000000000000000000000000001 +0 385 0001000000000010110110111011111111000000000000000000000000000001 +0 384 0001000000000010110110111011111111000000000000000000000000000001 +0 255 0001000000000010110110111011111111000000000000000000000000000001 +0 254 0001000000000010110110111011111111000000000000000000000000000001 +0 253 0001000000000010110110111011111111000000000000000000000000000001 +0 252 0001000000000010110110111011111111000000000000000000000000000001 +0 251 0001000000000010110110111011111111000000000000000000000000000001 +0 250 0001000000000010110110111011111111000000000000000000000000000001 +0 249 0001000000000010110110111011111111000000000000000000000000000001 +0 248 0001000000000010110110111011111111000000000000000000000000000001 +0 247 0001000000000010110110111011111111000000000000000000000000000001 +0 246 0001000000000010110110111011111111000000000000000000000000000001 +0 245 0001000000000010110110111011111111000000000000000000000000000001 +0 244 0001000000000010110110111011111111000000000000000000000000000001 +0 243 0001000000000010110110111011111111000000000000000000000000000001 +0 242 0001000000000010110110111011111111000000000000000000000000000001 +0 241 0001000000000010110110111011111111000000000000000000000000000001 +0 240 0001000000000010110110111011111111000000000000000000000000000001 +0 239 0001000000000010110110111011111111000000000000000000000000000001 +0 238 0001000000000010110110111011111111000000000000000000000000000001 +0 237 0001000000000010110110111011111111000000000000000000000000000001 +0 236 0001000000000010110110111011111111000000000000000000000000000001 +0 235 0001000000000010110110111011111111000000000000000000000000000001 +0 234 0001000000000010110110111011111111000000000000000000000000000001 +0 233 0001000000000010110110111011111111000000000000000000000000000001 +0 232 0001000000000010110110111011111111000000000000000000000000000001 +0 231 0001000000000010110110111011111111000000000000000000000000000001 +0 230 0001000000000010110110111011111111000000000000000000000000000001 +0 229 0001000000000010110110111011111111000000000000000000000000000001 +0 228 0001000000000010110110111011111111000000000000000000000000000001 +0 227 0001000000000010110110111011111111000000000000000000000000000001 +0 226 0001000000000010110110111011111111000000000000000000000000000001 +0 225 0001000000000010110110111011111111000000000000000000000000000001 +0 224 0001000000000010110110111011111111000000000000000000000000000001 +0 223 0001000000000010110110111011111111000000000000000000000000000001 +0 222 0001000000000010110110111011111111000000000000000000000000000001 +0 221 0001000000000010110110111011111111000000000000000000000000000001 +0 220 0001000000000010110110111011111111000000000000000000000000000001 +0 219 0001000000000010110110111011111111000000000000000000000000000001 +0 218 0001000000000010110110111011111111000000000000000000000000000001 +0 217 0001000000000010110110111011111111000000000000000000000000000001 +0 216 0001000000000010110110111011111111000000000000000000000000000001 +0 215 0001000000000010110110111011111111000000000000000000000000000001 +0 214 0001000000000010110110111011111111000000000000000000000000000001 +0 213 0001000000000010110110111011111111000000000000000000000000000001 +0 212 0001000000000010110110111011111111000000000000000000000000000001 +0 211 0001000000000010110110111011111111000000000000000000000000000001 +0 210 0001000000000010110110111011111111000000000000000000000000000001 +0 209 0001000000000010110110111011111111000000000000000000000000000001 +0 208 0001000000000010110110111011111111000000000000000000000000000001 +0 207 0001000000000010110110111011111111000000000000000000000000000001 +0 206 0001000000000010110110111011111111000000000000000000000000000001 +0 205 0001000000000010110110111011111111000000000000000000000000000001 +0 204 0001000000000010110110111011111111000000000000000000000000000001 +0 203 0001000000000010110110111011111111000000000000000000000000000001 +0 202 0001000000000010110110111011111111000000000000000000000000000001 +0 201 0001000000000010110110111011111111000000000000000000000000000001 +0 200 0001000000000010110110111011111111000000000000000000000000000001 +0 199 0001000000000010110110111011111111000000000000000000000000000001 +0 198 0001000000000010110110111011111111000000000000000000000000000001 +0 197 0001000000000010110110111011111111000000000000000000000000000001 +0 196 0001000000000010110110111011111111000000000000000000000000000001 +0 195 0001000000000010110110111011111111000000000000000000000000000001 +0 194 0001000000000010110110111011111111000000000000000000000000000001 +0 193 0001000000000010110110111011111111000000000000000000000000000001 +0 192 0001000000000010110110111011111111000000000000000000000000000001 +0 191 0001000000000010110110111011111111000000000000000000000000000001 +0 190 0001000000000010110110111011111111000000000000000000000000000001 +0 189 0001000000000010110110111011111111000000000000000000000000000001 +0 188 0001000000000010110110111011111111000000000000000000000000000001 +0 187 0001000000000010110110111011111111000000000000000000000000000001 +0 186 0001000000000010110110111011111111000000000000000000000000000001 +0 185 0001000000000010110110111011111111000000000000000000000000000001 +0 184 0001000000000010110110111011111111000000000000000000000000000001 +0 183 0001000000000010110110111011111111000000000000000000000000000001 +0 182 0001000000000010110110111011111111000000000000000000000000000001 +0 181 0001000000000010110110111011111111000000000000000000000000000001 +0 180 0001000000000010110110111011111111000000000000000000000000000001 +0 179 0001000000000010110110111011111111000000000000000000000000000001 +0 178 0001000000000010110110111011111111000000000000000000000000000001 +0 177 0001000000000010110110111011111111000000000000000000000000000001 +0 176 0001000000000010110110111011111111000000000000000000000000000001 +0 175 0001000000000010110110111011111111000000000000000000000000000001 +0 174 0001000000000010110110111011111111000000000000000000000000000001 +0 173 0001000000000010110110111011111111000000000000000000000000000001 +0 172 0001000000000010110110111011111111000000000000000000000000000001 +0 171 0001000000000010110110111011111111000000000000000000000000000001 +0 170 0001000000000010110110111011111111000000000000000000000000000001 +0 169 0001000000000010110110111011111111000000000000000000000000000001 +0 168 0001000000000010110110111011111111000000000000000000000000000001 +0 167 0001000000000010110110111011111111000000000000000000000000000001 +0 166 0001000000000010110110111011111111000000000000000000000000000001 +0 165 0001000000000010110110111011111111000000000000000000000000000001 +0 164 0001000000000010110110111011111111000000000000000000000000000001 +0 163 0001000000000010110110111011111111000000000000000000000000000001 +0 162 0001000000000010110110111011111111000000000000000000000000000001 +0 161 0001000000000010110110111011111111000000000000000000000000000001 +0 160 0001000000000010110110111011111111000000000000000000000000000001 +0 159 0001000000000010110110111011111111000000000000000000000000000001 +0 158 0001000000000010110110111011111111000000000000000000000000000001 +0 157 0001000000000010110110111011111111000000000000000000000000000001 +0 156 0001000000000010110110111011111111000000000000000000000000000001 +0 155 0001000000000010110110111011111111000000000000000000000000000001 +0 154 0001000000000010110110111011111111000000000000000000000000000001 +0 153 0001000000000010110110111011111111000000000000000000000000000001 +0 152 0001000000000010110110111011111111000000000000000000000000000001 +0 151 0001000000000010110110111011111111000000000000000000000000000001 +0 150 0001000000000010110110111011111111000000000000000000000000000001 +0 149 0001000000000010110110111011111111000000000000000000000000000001 +0 148 0001000000000010110110111011111111000000000000000000000000000001 +0 147 0001000000000010110110111011111111000000000000000000000000000001 +0 146 0001000000000010110110111011111111000000000000000000000000000001 +0 145 0001000000000010110110111011111111000000000000000000000000000001 +0 144 0001000000000010110110111011111111000000000000000000000000000001 +0 143 0001000000000010110110111011111111000000000000000000000000000001 +0 142 0001000000000010110110111011111111000000000000000000000000000001 +0 141 0001000000000010110110111011111111000000000000000000000000000001 +0 140 0001000000000010110110111011111111000000000000000000000000000001 +0 139 0001000000000010110110111011111111000000000000000000000000000001 +0 138 0001000000000010110110111011111111000000000000000000000000000001 +0 137 0001000000000010110110111011111111000000000000000000000000000001 +0 136 0001000000000010110110111011111111000000000000000000000000000001 +0 135 0001000000000010110110111011111111000000000000000000000000000001 +0 134 0001000000000010110110111011111111000000000000000000000000000001 +0 133 0001000000000010110110111011111111000000000000000000000000000001 +0 132 0001000000000010110110111011111111000000000000000000000000000001 +0 131 0001000000000010110110111011111111000000000000000000000000000001 +0 130 0001000000000010110110111011111111000000000000000000000000000001 +0 129 0001000000000010110110111011111111000000000000000000000000000001 +0 128 0001000000000010110110111011111111000000000000000000000000000001 +0 75 0001000000000011100101100000000000000000000000000000000000000001 diff --git a/test/scripts/generate_test_files/stimuli.txt b/test/scripts/generate_test_files/stimuli.txt index 03f644a..d513d6d 100644 --- a/test/scripts/generate_test_files/stimuli.txt +++ b/test/scripts/generate_test_files/stimuli.txt @@ -1,3 +1,11 @@ # is external? | path | data -0 272 0001000000000001110111100100010000000000000000000000000000000001 # origin: (11111-01011) --> dest: (01110-11110,00110-01110) -0 863 0001000000000010100010111100111111000000000000000000000000000001 # origin: (01100-00000) --> dest: (10100-01011,00101-00000,10101-00001,11101-10111) +0 346 0001000000000010000011000100010001000000000000000000000000000001 # origin: (11100-00011) --> dest: (10000-01100,11000-11101) +0 14 0001000000000011001011101010001001000000000000000000000000000001 # origin: (11100-11101) --> dest: (11001-01110,01101-00111) +0 459 0001000000000001100110101111111111000000000000000000000000000001 # origin: (10100-00110) --> dest: (01100-11010,01011-00010,01110-10100,11001-00001,01110-00100,00111-10001) +0 968 0001000000000001101110010000000000000000000000000000000000000001 # origin: (00101-00111) --> dest: (01101-11001) +0 535 0001000000000011101011110000000000000000000000000000000000000001 # origin: (01110-11000) --> dest: (11101-01111) +0 933 0001000000000001110010100000000000000000000000000000000000000001 # origin: (00011-01100) --> dest: (01110-01010) +0 665 0001000000000010000000001111111111000000000000000000000000000001 # origin: (00101-11010) --> dest: (10000-00000,01000-11100,10000-11000,10110-10110,10001-11011,10010-00110) +0 60 0001000000000000001000001111111111000000000000000000000000000001 # origin: (11001-11001) --> dest: (00001-00000,10111-00011,01110-01111,10000-11101) +0 798 0001000000000010110110111011111111000000000000000000000000000001 # origin: (01100-01001) --> dest: (10110-11011,10001-11110,00011-00101,10101-01110) +0 100 0001000000000011100101100000000000000000000000000000000000000001 # origin: (11011-10101) --> dest: (11100-10110) diff --git a/test/scripts/generate_test_files/utils.py b/test/scripts/generate_test_files/utils.py index 3754c0a..7fa8d9a 100644 --- a/test/scripts/generate_test_files/utils.py +++ b/test/scripts/generate_test_files/utils.py @@ -54,8 +54,6 @@ def get_multicast_reference(copy_x, copy_y, recv_path, packet): else 0 y_poss_ind = y_poss_ind+1 if y_poss_ind+1 < len(y_poss_paths[i]) \ else 0 - print(copy_x) - print(copy_y) reference = "" for x_path, y_path in zip(x_paths, y_paths): index_path = get_index_path(x_path, y_path) diff --git a/test/scripts/validate_results/result.ref b/test/scripts/validate_results/result.ref index 5ba5289..d5d7e9c 100644 --- a/test/scripts/validate_results/result.ref +++ b/test/scripts/validate_results/result.ref @@ -1,65 +1,3612 @@ -0 959 0001000000000010100110001011110010000000000000000000000000000001 -0 957 0001000000000010100110001011110010000000000000000000000000000001 -0 955 0001000000000010100110001011110010000000000000000000000000000001 -0 953 0001000000000010100110001011110010000000000000000000000000000001 -0 951 0001000000000010100110001011110010000000000000000000000000000001 -0 949 0001000000000010100110001011110010000000000000000000000000000001 -0 947 0001000000000010100110001011110010000000000000000000000000000001 -0 945 0001000000000010100110001011110010000000000000000000000000000001 -0 927 0001000000000010100110001011110010000000000000000000000000000001 -0 925 0001000000000010100110001011110010000000000000000000000000000001 -0 923 0001000000000010100110001011110010000000000000000000000000000001 -0 921 0001000000000010100110001011110010000000000000000000000000000001 -0 919 0001000000000010100110001011110010000000000000000000000000000001 -0 917 0001000000000010100110001011110010000000000000000000000000000001 -0 915 0001000000000010100110001011110010000000000000000000000000000001 -0 913 0001000000000010100110001011110010000000000000000000000000000001 -0 703 0001000000000010100110001011110010000000000000000000000000000001 -0 701 0001000000000010100110001011110010000000000000000000000000000001 -0 699 0001000000000010100110001011110010000000000000000000000000000001 -0 697 0001000000000010100110001011110010000000000000000000000000000001 -0 695 0001000000000010100110001011110010000000000000000000000000000001 -0 693 0001000000000010100110001011110010000000000000000000000000000001 -0 691 0001000000000010100110001011110010000000000000000000000000000001 -0 689 0001000000000010100110001011110010000000000000000000000000000001 -0 671 0001000000000010100110001011110010000000000000000000000000000001 -0 669 0001000000000010100110001011110010000000000000000000000000000001 -0 667 0001000000000010100110001011110010000000000000000000000000000001 -0 665 0001000000000010100110001011110010000000000000000000000000000001 -0 663 0001000000000010100110001011110010000000000000000000000000000001 -0 661 0001000000000010100110001011110010000000000000000000000000000001 -0 659 0001000000000010100110001011110010000000000000000000000000000001 -0 657 0001000000000010100110001011110010000000000000000000000000000001 -0 447 0001000000000010100110001011110010000000000000000000000000000001 -0 445 0001000000000010100110001011110010000000000000000000000000000001 -0 443 0001000000000010100110001011110010000000000000000000000000000001 -0 441 0001000000000010100110001011110010000000000000000000000000000001 -0 439 0001000000000010100110001011110010000000000000000000000000000001 -0 437 0001000000000010100110001011110010000000000000000000000000000001 -0 435 0001000000000010100110001011110010000000000000000000000000000001 -0 433 0001000000000010100110001011110010000000000000000000000000000001 -0 415 0001000000000010100110001011110010000000000000000000000000000001 -0 413 0001000000000010100110001011110010000000000000000000000000000001 -0 411 0001000000000010100110001011110010000000000000000000000000000001 -0 409 0001000000000010100110001011110010000000000000000000000000000001 -0 407 0001000000000010100110001011110010000000000000000000000000000001 -0 405 0001000000000010100110001011110010000000000000000000000000000001 -0 403 0001000000000010100110001011110010000000000000000000000000000001 -0 401 0001000000000010100110001011110010000000000000000000000000000001 -0 191 0001000000000010100110001011110010000000000000000000000000000001 -0 189 0001000000000010100110001011110010000000000000000000000000000001 -0 187 0001000000000010100110001011110010000000000000000000000000000001 -0 185 0001000000000010100110001011110010000000000000000000000000000001 -0 183 0001000000000010100110001011110010000000000000000000000000000001 -0 181 0001000000000010100110001011110010000000000000000000000000000001 -0 179 0001000000000010100110001011110010000000000000000000000000000001 -0 177 0001000000000010100110001011110010000000000000000000000000000001 -0 159 0001000000000010100110001011110010000000000000000000000000000001 -0 157 0001000000000010100110001011110010000000000000000000000000000001 -0 155 0001000000000010100110001011110010000000000000000000000000000001 -0 153 0001000000000010100110001011110010000000000000000000000000000001 -0 151 0001000000000010100110001011110010000000000000000000000000000001 -0 149 0001000000000010100110001011110010000000000000000000000000000001 -0 147 0001000000000010100110001011110010000000000000000000000000000001 -0 145 0001000000000010100110001011110010000000000000000000000000000001 -0 221 0001000000000010101100000000000000000000000000000000000000000001 +0 431 0001000000000010000011000100010001000000000000000000000000000001 +0 430 0001000000000010000011000100010001000000000000000000000000000001 +0 303 0001000000000010000011000100010001000000000000000000000000000001 +0 302 0001000000000010000011000100010001000000000000000000000000000001 +0 175 0001000000000010000011000100010001000000000000000000000000000001 +0 174 0001000000000010000011000100010001000000000000000000000000000001 +0 47 0001000000000010000011000100010001000000000000000000000000000001 +0 46 0001000000000010000011000100010001000000000000000000000000000001 +0 873 0001000000000011001011101010001001000000000000000000000000000001 +0 872 0001000000000011001011101010001001000000000000000000000000000001 +0 841 0001000000000011001011101010001001000000000000000000000000000001 +0 840 0001000000000011001011101010001001000000000000000000000000000001 +0 809 0001000000000011001011101010001001000000000000000000000000000001 +0 808 0001000000000011001011101010001001000000000000000000000000000001 +0 777 0001000000000011001011101010001001000000000000000000000000000001 +0 776 0001000000000011001011101010001001000000000000000000000000000001 +0 361 0001000000000011001011101010001001000000000000000000000000000001 +0 360 0001000000000011001011101010001001000000000000000000000000000001 +0 329 0001000000000011001011101010001001000000000000000000000000000001 +0 328 0001000000000011001011101010001001000000000000000000000000000001 +0 297 0001000000000011001011101010001001000000000000000000000000000001 +0 296 0001000000000011001011101010001001000000000000000000000000000001 +0 265 0001000000000011001011101010001001000000000000000000000000000001 +0 264 0001000000000011001011101010001001000000000000000000000000000001 +0 1023 0001000000000001100110101111111111000000000000000000000000000001 +0 1022 0001000000000001100110101111111111000000000000000000000000000001 +0 1021 0001000000000001100110101111111111000000000000000000000000000001 +0 1020 0001000000000001100110101111111111000000000000000000000000000001 +0 1019 0001000000000001100110101111111111000000000000000000000000000001 +0 1018 0001000000000001100110101111111111000000000000000000000000000001 +0 1017 0001000000000001100110101111111111000000000000000000000000000001 +0 1016 0001000000000001100110101111111111000000000000000000000000000001 +0 1015 0001000000000001100110101111111111000000000000000000000000000001 +0 1014 0001000000000001100110101111111111000000000000000000000000000001 +0 1013 0001000000000001100110101111111111000000000000000000000000000001 +0 1012 0001000000000001100110101111111111000000000000000000000000000001 +0 1011 0001000000000001100110101111111111000000000000000000000000000001 +0 1010 0001000000000001100110101111111111000000000000000000000000000001 +0 1009 0001000000000001100110101111111111000000000000000000000000000001 +0 1008 0001000000000001100110101111111111000000000000000000000000000001 +0 1007 0001000000000001100110101111111111000000000000000000000000000001 +0 1006 0001000000000001100110101111111111000000000000000000000000000001 +0 1005 0001000000000001100110101111111111000000000000000000000000000001 +0 1004 0001000000000001100110101111111111000000000000000000000000000001 +0 1003 0001000000000001100110101111111111000000000000000000000000000001 +0 1002 0001000000000001100110101111111111000000000000000000000000000001 +0 1001 0001000000000001100110101111111111000000000000000000000000000001 +0 1000 0001000000000001100110101111111111000000000000000000000000000001 +0 999 0001000000000001100110101111111111000000000000000000000000000001 +0 998 0001000000000001100110101111111111000000000000000000000000000001 +0 997 0001000000000001100110101111111111000000000000000000000000000001 +0 996 0001000000000001100110101111111111000000000000000000000000000001 +0 995 0001000000000001100110101111111111000000000000000000000000000001 +0 994 0001000000000001100110101111111111000000000000000000000000000001 +0 993 0001000000000001100110101111111111000000000000000000000000000001 +0 992 0001000000000001100110101111111111000000000000000000000000000001 +0 991 0001000000000001100110101111111111000000000000000000000000000001 +0 990 0001000000000001100110101111111111000000000000000000000000000001 +0 989 0001000000000001100110101111111111000000000000000000000000000001 +0 988 0001000000000001100110101111111111000000000000000000000000000001 +0 987 0001000000000001100110101111111111000000000000000000000000000001 +0 986 0001000000000001100110101111111111000000000000000000000000000001 +0 985 0001000000000001100110101111111111000000000000000000000000000001 +0 984 0001000000000001100110101111111111000000000000000000000000000001 +0 983 0001000000000001100110101111111111000000000000000000000000000001 +0 982 0001000000000001100110101111111111000000000000000000000000000001 +0 981 0001000000000001100110101111111111000000000000000000000000000001 +0 980 0001000000000001100110101111111111000000000000000000000000000001 +0 979 0001000000000001100110101111111111000000000000000000000000000001 +0 978 0001000000000001100110101111111111000000000000000000000000000001 +0 977 0001000000000001100110101111111111000000000000000000000000000001 +0 976 0001000000000001100110101111111111000000000000000000000000000001 +0 975 0001000000000001100110101111111111000000000000000000000000000001 +0 974 0001000000000001100110101111111111000000000000000000000000000001 +0 973 0001000000000001100110101111111111000000000000000000000000000001 +0 972 0001000000000001100110101111111111000000000000000000000000000001 +0 971 0001000000000001100110101111111111000000000000000000000000000001 +0 970 0001000000000001100110101111111111000000000000000000000000000001 +0 969 0001000000000001100110101111111111000000000000000000000000000001 +0 968 0001000000000001100110101111111111000000000000000000000000000001 +0 967 0001000000000001100110101111111111000000000000000000000000000001 +0 966 0001000000000001100110101111111111000000000000000000000000000001 +0 965 0001000000000001100110101111111111000000000000000000000000000001 +0 964 0001000000000001100110101111111111000000000000000000000000000001 +0 963 0001000000000001100110101111111111000000000000000000000000000001 +0 962 0001000000000001100110101111111111000000000000000000000000000001 +0 961 0001000000000001100110101111111111000000000000000000000000000001 +0 960 0001000000000001100110101111111111000000000000000000000000000001 +0 959 0001000000000001100110101111111111000000000000000000000000000001 +0 958 0001000000000001100110101111111111000000000000000000000000000001 +0 957 0001000000000001100110101111111111000000000000000000000000000001 +0 956 0001000000000001100110101111111111000000000000000000000000000001 +0 955 0001000000000001100110101111111111000000000000000000000000000001 +0 954 0001000000000001100110101111111111000000000000000000000000000001 +0 953 0001000000000001100110101111111111000000000000000000000000000001 +0 952 0001000000000001100110101111111111000000000000000000000000000001 +0 951 0001000000000001100110101111111111000000000000000000000000000001 +0 950 0001000000000001100110101111111111000000000000000000000000000001 +0 949 0001000000000001100110101111111111000000000000000000000000000001 +0 948 0001000000000001100110101111111111000000000000000000000000000001 +0 947 0001000000000001100110101111111111000000000000000000000000000001 +0 946 0001000000000001100110101111111111000000000000000000000000000001 +0 945 0001000000000001100110101111111111000000000000000000000000000001 +0 944 0001000000000001100110101111111111000000000000000000000000000001 +0 943 0001000000000001100110101111111111000000000000000000000000000001 +0 942 0001000000000001100110101111111111000000000000000000000000000001 +0 941 0001000000000001100110101111111111000000000000000000000000000001 +0 940 0001000000000001100110101111111111000000000000000000000000000001 +0 939 0001000000000001100110101111111111000000000000000000000000000001 +0 938 0001000000000001100110101111111111000000000000000000000000000001 +0 937 0001000000000001100110101111111111000000000000000000000000000001 +0 936 0001000000000001100110101111111111000000000000000000000000000001 +0 935 0001000000000001100110101111111111000000000000000000000000000001 +0 934 0001000000000001100110101111111111000000000000000000000000000001 +0 933 0001000000000001100110101111111111000000000000000000000000000001 +0 932 0001000000000001100110101111111111000000000000000000000000000001 +0 931 0001000000000001100110101111111111000000000000000000000000000001 +0 930 0001000000000001100110101111111111000000000000000000000000000001 +0 929 0001000000000001100110101111111111000000000000000000000000000001 +0 928 0001000000000001100110101111111111000000000000000000000000000001 +0 927 0001000000000001100110101111111111000000000000000000000000000001 +0 926 0001000000000001100110101111111111000000000000000000000000000001 +0 925 0001000000000001100110101111111111000000000000000000000000000001 +0 924 0001000000000001100110101111111111000000000000000000000000000001 +0 923 0001000000000001100110101111111111000000000000000000000000000001 +0 922 0001000000000001100110101111111111000000000000000000000000000001 +0 921 0001000000000001100110101111111111000000000000000000000000000001 +0 920 0001000000000001100110101111111111000000000000000000000000000001 +0 919 0001000000000001100110101111111111000000000000000000000000000001 +0 918 0001000000000001100110101111111111000000000000000000000000000001 +0 917 0001000000000001100110101111111111000000000000000000000000000001 +0 916 0001000000000001100110101111111111000000000000000000000000000001 +0 915 0001000000000001100110101111111111000000000000000000000000000001 +0 914 0001000000000001100110101111111111000000000000000000000000000001 +0 913 0001000000000001100110101111111111000000000000000000000000000001 +0 912 0001000000000001100110101111111111000000000000000000000000000001 +0 911 0001000000000001100110101111111111000000000000000000000000000001 +0 910 0001000000000001100110101111111111000000000000000000000000000001 +0 909 0001000000000001100110101111111111000000000000000000000000000001 +0 908 0001000000000001100110101111111111000000000000000000000000000001 +0 907 0001000000000001100110101111111111000000000000000000000000000001 +0 906 0001000000000001100110101111111111000000000000000000000000000001 +0 905 0001000000000001100110101111111111000000000000000000000000000001 +0 904 0001000000000001100110101111111111000000000000000000000000000001 +0 903 0001000000000001100110101111111111000000000000000000000000000001 +0 902 0001000000000001100110101111111111000000000000000000000000000001 +0 901 0001000000000001100110101111111111000000000000000000000000000001 +0 900 0001000000000001100110101111111111000000000000000000000000000001 +0 899 0001000000000001100110101111111111000000000000000000000000000001 +0 898 0001000000000001100110101111111111000000000000000000000000000001 +0 897 0001000000000001100110101111111111000000000000000000000000000001 +0 896 0001000000000001100110101111111111000000000000000000000000000001 +0 895 0001000000000001100110101111111111000000000000000000000000000001 +0 894 0001000000000001100110101111111111000000000000000000000000000001 +0 893 0001000000000001100110101111111111000000000000000000000000000001 +0 892 0001000000000001100110101111111111000000000000000000000000000001 +0 891 0001000000000001100110101111111111000000000000000000000000000001 +0 890 0001000000000001100110101111111111000000000000000000000000000001 +0 889 0001000000000001100110101111111111000000000000000000000000000001 +0 888 0001000000000001100110101111111111000000000000000000000000000001 +0 887 0001000000000001100110101111111111000000000000000000000000000001 +0 886 0001000000000001100110101111111111000000000000000000000000000001 +0 885 0001000000000001100110101111111111000000000000000000000000000001 +0 884 0001000000000001100110101111111111000000000000000000000000000001 +0 883 0001000000000001100110101111111111000000000000000000000000000001 +0 882 0001000000000001100110101111111111000000000000000000000000000001 +0 881 0001000000000001100110101111111111000000000000000000000000000001 +0 880 0001000000000001100110101111111111000000000000000000000000000001 +0 879 0001000000000001100110101111111111000000000000000000000000000001 +0 878 0001000000000001100110101111111111000000000000000000000000000001 +0 877 0001000000000001100110101111111111000000000000000000000000000001 +0 876 0001000000000001100110101111111111000000000000000000000000000001 +0 875 0001000000000001100110101111111111000000000000000000000000000001 +0 874 0001000000000001100110101111111111000000000000000000000000000001 +0 873 0001000000000001100110101111111111000000000000000000000000000001 +0 872 0001000000000001100110101111111111000000000000000000000000000001 +0 871 0001000000000001100110101111111111000000000000000000000000000001 +0 870 0001000000000001100110101111111111000000000000000000000000000001 +0 869 0001000000000001100110101111111111000000000000000000000000000001 +0 868 0001000000000001100110101111111111000000000000000000000000000001 +0 867 0001000000000001100110101111111111000000000000000000000000000001 +0 866 0001000000000001100110101111111111000000000000000000000000000001 +0 865 0001000000000001100110101111111111000000000000000000000000000001 +0 864 0001000000000001100110101111111111000000000000000000000000000001 +0 863 0001000000000001100110101111111111000000000000000000000000000001 +0 862 0001000000000001100110101111111111000000000000000000000000000001 +0 861 0001000000000001100110101111111111000000000000000000000000000001 +0 860 0001000000000001100110101111111111000000000000000000000000000001 +0 859 0001000000000001100110101111111111000000000000000000000000000001 +0 858 0001000000000001100110101111111111000000000000000000000000000001 +0 857 0001000000000001100110101111111111000000000000000000000000000001 +0 856 0001000000000001100110101111111111000000000000000000000000000001 +0 855 0001000000000001100110101111111111000000000000000000000000000001 +0 854 0001000000000001100110101111111111000000000000000000000000000001 +0 853 0001000000000001100110101111111111000000000000000000000000000001 +0 852 0001000000000001100110101111111111000000000000000000000000000001 +0 851 0001000000000001100110101111111111000000000000000000000000000001 +0 850 0001000000000001100110101111111111000000000000000000000000000001 +0 849 0001000000000001100110101111111111000000000000000000000000000001 +0 848 0001000000000001100110101111111111000000000000000000000000000001 +0 847 0001000000000001100110101111111111000000000000000000000000000001 +0 846 0001000000000001100110101111111111000000000000000000000000000001 +0 845 0001000000000001100110101111111111000000000000000000000000000001 +0 844 0001000000000001100110101111111111000000000000000000000000000001 +0 843 0001000000000001100110101111111111000000000000000000000000000001 +0 842 0001000000000001100110101111111111000000000000000000000000000001 +0 841 0001000000000001100110101111111111000000000000000000000000000001 +0 840 0001000000000001100110101111111111000000000000000000000000000001 +0 839 0001000000000001100110101111111111000000000000000000000000000001 +0 838 0001000000000001100110101111111111000000000000000000000000000001 +0 837 0001000000000001100110101111111111000000000000000000000000000001 +0 836 0001000000000001100110101111111111000000000000000000000000000001 +0 835 0001000000000001100110101111111111000000000000000000000000000001 +0 834 0001000000000001100110101111111111000000000000000000000000000001 +0 833 0001000000000001100110101111111111000000000000000000000000000001 +0 832 0001000000000001100110101111111111000000000000000000000000000001 +0 831 0001000000000001100110101111111111000000000000000000000000000001 +0 830 0001000000000001100110101111111111000000000000000000000000000001 +0 829 0001000000000001100110101111111111000000000000000000000000000001 +0 828 0001000000000001100110101111111111000000000000000000000000000001 +0 827 0001000000000001100110101111111111000000000000000000000000000001 +0 826 0001000000000001100110101111111111000000000000000000000000000001 +0 825 0001000000000001100110101111111111000000000000000000000000000001 +0 824 0001000000000001100110101111111111000000000000000000000000000001 +0 823 0001000000000001100110101111111111000000000000000000000000000001 +0 822 0001000000000001100110101111111111000000000000000000000000000001 +0 821 0001000000000001100110101111111111000000000000000000000000000001 +0 820 0001000000000001100110101111111111000000000000000000000000000001 +0 819 0001000000000001100110101111111111000000000000000000000000000001 +0 818 0001000000000001100110101111111111000000000000000000000000000001 +0 817 0001000000000001100110101111111111000000000000000000000000000001 +0 816 0001000000000001100110101111111111000000000000000000000000000001 +0 815 0001000000000001100110101111111111000000000000000000000000000001 +0 814 0001000000000001100110101111111111000000000000000000000000000001 +0 813 0001000000000001100110101111111111000000000000000000000000000001 +0 812 0001000000000001100110101111111111000000000000000000000000000001 +0 811 0001000000000001100110101111111111000000000000000000000000000001 +0 810 0001000000000001100110101111111111000000000000000000000000000001 +0 809 0001000000000001100110101111111111000000000000000000000000000001 +0 808 0001000000000001100110101111111111000000000000000000000000000001 +0 807 0001000000000001100110101111111111000000000000000000000000000001 +0 806 0001000000000001100110101111111111000000000000000000000000000001 +0 805 0001000000000001100110101111111111000000000000000000000000000001 +0 804 0001000000000001100110101111111111000000000000000000000000000001 +0 803 0001000000000001100110101111111111000000000000000000000000000001 +0 802 0001000000000001100110101111111111000000000000000000000000000001 +0 801 0001000000000001100110101111111111000000000000000000000000000001 +0 800 0001000000000001100110101111111111000000000000000000000000000001 +0 799 0001000000000001100110101111111111000000000000000000000000000001 +0 798 0001000000000001100110101111111111000000000000000000000000000001 +0 797 0001000000000001100110101111111111000000000000000000000000000001 +0 796 0001000000000001100110101111111111000000000000000000000000000001 +0 795 0001000000000001100110101111111111000000000000000000000000000001 +0 794 0001000000000001100110101111111111000000000000000000000000000001 +0 793 0001000000000001100110101111111111000000000000000000000000000001 +0 792 0001000000000001100110101111111111000000000000000000000000000001 +0 791 0001000000000001100110101111111111000000000000000000000000000001 +0 790 0001000000000001100110101111111111000000000000000000000000000001 +0 789 0001000000000001100110101111111111000000000000000000000000000001 +0 788 0001000000000001100110101111111111000000000000000000000000000001 +0 787 0001000000000001100110101111111111000000000000000000000000000001 +0 786 0001000000000001100110101111111111000000000000000000000000000001 +0 785 0001000000000001100110101111111111000000000000000000000000000001 +0 784 0001000000000001100110101111111111000000000000000000000000000001 +0 783 0001000000000001100110101111111111000000000000000000000000000001 +0 782 0001000000000001100110101111111111000000000000000000000000000001 +0 781 0001000000000001100110101111111111000000000000000000000000000001 +0 780 0001000000000001100110101111111111000000000000000000000000000001 +0 779 0001000000000001100110101111111111000000000000000000000000000001 +0 778 0001000000000001100110101111111111000000000000000000000000000001 +0 777 0001000000000001100110101111111111000000000000000000000000000001 +0 776 0001000000000001100110101111111111000000000000000000000000000001 +0 775 0001000000000001100110101111111111000000000000000000000000000001 +0 774 0001000000000001100110101111111111000000000000000000000000000001 +0 773 0001000000000001100110101111111111000000000000000000000000000001 +0 772 0001000000000001100110101111111111000000000000000000000000000001 +0 771 0001000000000001100110101111111111000000000000000000000000000001 +0 770 0001000000000001100110101111111111000000000000000000000000000001 +0 769 0001000000000001100110101111111111000000000000000000000000000001 +0 768 0001000000000001100110101111111111000000000000000000000000000001 +0 767 0001000000000001100110101111111111000000000000000000000000000001 +0 766 0001000000000001100110101111111111000000000000000000000000000001 +0 765 0001000000000001100110101111111111000000000000000000000000000001 +0 764 0001000000000001100110101111111111000000000000000000000000000001 +0 763 0001000000000001100110101111111111000000000000000000000000000001 +0 762 0001000000000001100110101111111111000000000000000000000000000001 +0 761 0001000000000001100110101111111111000000000000000000000000000001 +0 760 0001000000000001100110101111111111000000000000000000000000000001 +0 759 0001000000000001100110101111111111000000000000000000000000000001 +0 758 0001000000000001100110101111111111000000000000000000000000000001 +0 757 0001000000000001100110101111111111000000000000000000000000000001 +0 756 0001000000000001100110101111111111000000000000000000000000000001 +0 755 0001000000000001100110101111111111000000000000000000000000000001 +0 754 0001000000000001100110101111111111000000000000000000000000000001 +0 753 0001000000000001100110101111111111000000000000000000000000000001 +0 752 0001000000000001100110101111111111000000000000000000000000000001 +0 751 0001000000000001100110101111111111000000000000000000000000000001 +0 750 0001000000000001100110101111111111000000000000000000000000000001 +0 749 0001000000000001100110101111111111000000000000000000000000000001 +0 748 0001000000000001100110101111111111000000000000000000000000000001 +0 747 0001000000000001100110101111111111000000000000000000000000000001 +0 746 0001000000000001100110101111111111000000000000000000000000000001 +0 745 0001000000000001100110101111111111000000000000000000000000000001 +0 744 0001000000000001100110101111111111000000000000000000000000000001 +0 743 0001000000000001100110101111111111000000000000000000000000000001 +0 742 0001000000000001100110101111111111000000000000000000000000000001 +0 741 0001000000000001100110101111111111000000000000000000000000000001 +0 740 0001000000000001100110101111111111000000000000000000000000000001 +0 739 0001000000000001100110101111111111000000000000000000000000000001 +0 738 0001000000000001100110101111111111000000000000000000000000000001 +0 737 0001000000000001100110101111111111000000000000000000000000000001 +0 736 0001000000000001100110101111111111000000000000000000000000000001 +0 735 0001000000000001100110101111111111000000000000000000000000000001 +0 734 0001000000000001100110101111111111000000000000000000000000000001 +0 733 0001000000000001100110101111111111000000000000000000000000000001 +0 732 0001000000000001100110101111111111000000000000000000000000000001 +0 731 0001000000000001100110101111111111000000000000000000000000000001 +0 730 0001000000000001100110101111111111000000000000000000000000000001 +0 729 0001000000000001100110101111111111000000000000000000000000000001 +0 728 0001000000000001100110101111111111000000000000000000000000000001 +0 727 0001000000000001100110101111111111000000000000000000000000000001 +0 726 0001000000000001100110101111111111000000000000000000000000000001 +0 725 0001000000000001100110101111111111000000000000000000000000000001 +0 724 0001000000000001100110101111111111000000000000000000000000000001 +0 723 0001000000000001100110101111111111000000000000000000000000000001 +0 722 0001000000000001100110101111111111000000000000000000000000000001 +0 721 0001000000000001100110101111111111000000000000000000000000000001 +0 720 0001000000000001100110101111111111000000000000000000000000000001 +0 719 0001000000000001100110101111111111000000000000000000000000000001 +0 718 0001000000000001100110101111111111000000000000000000000000000001 +0 717 0001000000000001100110101111111111000000000000000000000000000001 +0 716 0001000000000001100110101111111111000000000000000000000000000001 +0 715 0001000000000001100110101111111111000000000000000000000000000001 +0 714 0001000000000001100110101111111111000000000000000000000000000001 +0 713 0001000000000001100110101111111111000000000000000000000000000001 +0 712 0001000000000001100110101111111111000000000000000000000000000001 +0 711 0001000000000001100110101111111111000000000000000000000000000001 +0 710 0001000000000001100110101111111111000000000000000000000000000001 +0 709 0001000000000001100110101111111111000000000000000000000000000001 +0 708 0001000000000001100110101111111111000000000000000000000000000001 +0 707 0001000000000001100110101111111111000000000000000000000000000001 +0 706 0001000000000001100110101111111111000000000000000000000000000001 +0 705 0001000000000001100110101111111111000000000000000000000000000001 +0 704 0001000000000001100110101111111111000000000000000000000000000001 +0 703 0001000000000001100110101111111111000000000000000000000000000001 +0 702 0001000000000001100110101111111111000000000000000000000000000001 +0 701 0001000000000001100110101111111111000000000000000000000000000001 +0 700 0001000000000001100110101111111111000000000000000000000000000001 +0 699 0001000000000001100110101111111111000000000000000000000000000001 +0 698 0001000000000001100110101111111111000000000000000000000000000001 +0 697 0001000000000001100110101111111111000000000000000000000000000001 +0 696 0001000000000001100110101111111111000000000000000000000000000001 +0 695 0001000000000001100110101111111111000000000000000000000000000001 +0 694 0001000000000001100110101111111111000000000000000000000000000001 +0 693 0001000000000001100110101111111111000000000000000000000000000001 +0 692 0001000000000001100110101111111111000000000000000000000000000001 +0 691 0001000000000001100110101111111111000000000000000000000000000001 +0 690 0001000000000001100110101111111111000000000000000000000000000001 +0 689 0001000000000001100110101111111111000000000000000000000000000001 +0 688 0001000000000001100110101111111111000000000000000000000000000001 +0 687 0001000000000001100110101111111111000000000000000000000000000001 +0 686 0001000000000001100110101111111111000000000000000000000000000001 +0 685 0001000000000001100110101111111111000000000000000000000000000001 +0 684 0001000000000001100110101111111111000000000000000000000000000001 +0 683 0001000000000001100110101111111111000000000000000000000000000001 +0 682 0001000000000001100110101111111111000000000000000000000000000001 +0 681 0001000000000001100110101111111111000000000000000000000000000001 +0 680 0001000000000001100110101111111111000000000000000000000000000001 +0 679 0001000000000001100110101111111111000000000000000000000000000001 +0 678 0001000000000001100110101111111111000000000000000000000000000001 +0 677 0001000000000001100110101111111111000000000000000000000000000001 +0 676 0001000000000001100110101111111111000000000000000000000000000001 +0 675 0001000000000001100110101111111111000000000000000000000000000001 +0 674 0001000000000001100110101111111111000000000000000000000000000001 +0 673 0001000000000001100110101111111111000000000000000000000000000001 +0 672 0001000000000001100110101111111111000000000000000000000000000001 +0 671 0001000000000001100110101111111111000000000000000000000000000001 +0 670 0001000000000001100110101111111111000000000000000000000000000001 +0 669 0001000000000001100110101111111111000000000000000000000000000001 +0 668 0001000000000001100110101111111111000000000000000000000000000001 +0 667 0001000000000001100110101111111111000000000000000000000000000001 +0 666 0001000000000001100110101111111111000000000000000000000000000001 +0 665 0001000000000001100110101111111111000000000000000000000000000001 +0 664 0001000000000001100110101111111111000000000000000000000000000001 +0 663 0001000000000001100110101111111111000000000000000000000000000001 +0 662 0001000000000001100110101111111111000000000000000000000000000001 +0 661 0001000000000001100110101111111111000000000000000000000000000001 +0 660 0001000000000001100110101111111111000000000000000000000000000001 +0 659 0001000000000001100110101111111111000000000000000000000000000001 +0 658 0001000000000001100110101111111111000000000000000000000000000001 +0 657 0001000000000001100110101111111111000000000000000000000000000001 +0 656 0001000000000001100110101111111111000000000000000000000000000001 +0 655 0001000000000001100110101111111111000000000000000000000000000001 +0 654 0001000000000001100110101111111111000000000000000000000000000001 +0 653 0001000000000001100110101111111111000000000000000000000000000001 +0 652 0001000000000001100110101111111111000000000000000000000000000001 +0 651 0001000000000001100110101111111111000000000000000000000000000001 +0 650 0001000000000001100110101111111111000000000000000000000000000001 +0 649 0001000000000001100110101111111111000000000000000000000000000001 +0 648 0001000000000001100110101111111111000000000000000000000000000001 +0 647 0001000000000001100110101111111111000000000000000000000000000001 +0 646 0001000000000001100110101111111111000000000000000000000000000001 +0 645 0001000000000001100110101111111111000000000000000000000000000001 +0 644 0001000000000001100110101111111111000000000000000000000000000001 +0 643 0001000000000001100110101111111111000000000000000000000000000001 +0 642 0001000000000001100110101111111111000000000000000000000000000001 +0 641 0001000000000001100110101111111111000000000000000000000000000001 +0 640 0001000000000001100110101111111111000000000000000000000000000001 +0 639 0001000000000001100110101111111111000000000000000000000000000001 +0 638 0001000000000001100110101111111111000000000000000000000000000001 +0 637 0001000000000001100110101111111111000000000000000000000000000001 +0 636 0001000000000001100110101111111111000000000000000000000000000001 +0 635 0001000000000001100110101111111111000000000000000000000000000001 +0 634 0001000000000001100110101111111111000000000000000000000000000001 +0 633 0001000000000001100110101111111111000000000000000000000000000001 +0 632 0001000000000001100110101111111111000000000000000000000000000001 +0 631 0001000000000001100110101111111111000000000000000000000000000001 +0 630 0001000000000001100110101111111111000000000000000000000000000001 +0 629 0001000000000001100110101111111111000000000000000000000000000001 +0 628 0001000000000001100110101111111111000000000000000000000000000001 +0 627 0001000000000001100110101111111111000000000000000000000000000001 +0 626 0001000000000001100110101111111111000000000000000000000000000001 +0 625 0001000000000001100110101111111111000000000000000000000000000001 +0 624 0001000000000001100110101111111111000000000000000000000000000001 +0 623 0001000000000001100110101111111111000000000000000000000000000001 +0 622 0001000000000001100110101111111111000000000000000000000000000001 +0 621 0001000000000001100110101111111111000000000000000000000000000001 +0 620 0001000000000001100110101111111111000000000000000000000000000001 +0 619 0001000000000001100110101111111111000000000000000000000000000001 +0 618 0001000000000001100110101111111111000000000000000000000000000001 +0 617 0001000000000001100110101111111111000000000000000000000000000001 +0 616 0001000000000001100110101111111111000000000000000000000000000001 +0 615 0001000000000001100110101111111111000000000000000000000000000001 +0 614 0001000000000001100110101111111111000000000000000000000000000001 +0 613 0001000000000001100110101111111111000000000000000000000000000001 +0 612 0001000000000001100110101111111111000000000000000000000000000001 +0 611 0001000000000001100110101111111111000000000000000000000000000001 +0 610 0001000000000001100110101111111111000000000000000000000000000001 +0 609 0001000000000001100110101111111111000000000000000000000000000001 +0 608 0001000000000001100110101111111111000000000000000000000000000001 +0 607 0001000000000001100110101111111111000000000000000000000000000001 +0 606 0001000000000001100110101111111111000000000000000000000000000001 +0 605 0001000000000001100110101111111111000000000000000000000000000001 +0 604 0001000000000001100110101111111111000000000000000000000000000001 +0 603 0001000000000001100110101111111111000000000000000000000000000001 +0 602 0001000000000001100110101111111111000000000000000000000000000001 +0 601 0001000000000001100110101111111111000000000000000000000000000001 +0 600 0001000000000001100110101111111111000000000000000000000000000001 +0 599 0001000000000001100110101111111111000000000000000000000000000001 +0 598 0001000000000001100110101111111111000000000000000000000000000001 +0 597 0001000000000001100110101111111111000000000000000000000000000001 +0 596 0001000000000001100110101111111111000000000000000000000000000001 +0 595 0001000000000001100110101111111111000000000000000000000000000001 +0 594 0001000000000001100110101111111111000000000000000000000000000001 +0 593 0001000000000001100110101111111111000000000000000000000000000001 +0 592 0001000000000001100110101111111111000000000000000000000000000001 +0 591 0001000000000001100110101111111111000000000000000000000000000001 +0 590 0001000000000001100110101111111111000000000000000000000000000001 +0 589 0001000000000001100110101111111111000000000000000000000000000001 +0 588 0001000000000001100110101111111111000000000000000000000000000001 +0 587 0001000000000001100110101111111111000000000000000000000000000001 +0 586 0001000000000001100110101111111111000000000000000000000000000001 +0 585 0001000000000001100110101111111111000000000000000000000000000001 +0 584 0001000000000001100110101111111111000000000000000000000000000001 +0 583 0001000000000001100110101111111111000000000000000000000000000001 +0 582 0001000000000001100110101111111111000000000000000000000000000001 +0 581 0001000000000001100110101111111111000000000000000000000000000001 +0 580 0001000000000001100110101111111111000000000000000000000000000001 +0 579 0001000000000001100110101111111111000000000000000000000000000001 +0 578 0001000000000001100110101111111111000000000000000000000000000001 +0 577 0001000000000001100110101111111111000000000000000000000000000001 +0 576 0001000000000001100110101111111111000000000000000000000000000001 +0 575 0001000000000001100110101111111111000000000000000000000000000001 +0 574 0001000000000001100110101111111111000000000000000000000000000001 +0 573 0001000000000001100110101111111111000000000000000000000000000001 +0 572 0001000000000001100110101111111111000000000000000000000000000001 +0 571 0001000000000001100110101111111111000000000000000000000000000001 +0 570 0001000000000001100110101111111111000000000000000000000000000001 +0 569 0001000000000001100110101111111111000000000000000000000000000001 +0 568 0001000000000001100110101111111111000000000000000000000000000001 +0 567 0001000000000001100110101111111111000000000000000000000000000001 +0 566 0001000000000001100110101111111111000000000000000000000000000001 +0 565 0001000000000001100110101111111111000000000000000000000000000001 +0 564 0001000000000001100110101111111111000000000000000000000000000001 +0 563 0001000000000001100110101111111111000000000000000000000000000001 +0 562 0001000000000001100110101111111111000000000000000000000000000001 +0 561 0001000000000001100110101111111111000000000000000000000000000001 +0 560 0001000000000001100110101111111111000000000000000000000000000001 +0 559 0001000000000001100110101111111111000000000000000000000000000001 +0 558 0001000000000001100110101111111111000000000000000000000000000001 +0 557 0001000000000001100110101111111111000000000000000000000000000001 +0 556 0001000000000001100110101111111111000000000000000000000000000001 +0 555 0001000000000001100110101111111111000000000000000000000000000001 +0 554 0001000000000001100110101111111111000000000000000000000000000001 +0 553 0001000000000001100110101111111111000000000000000000000000000001 +0 552 0001000000000001100110101111111111000000000000000000000000000001 +0 551 0001000000000001100110101111111111000000000000000000000000000001 +0 550 0001000000000001100110101111111111000000000000000000000000000001 +0 549 0001000000000001100110101111111111000000000000000000000000000001 +0 548 0001000000000001100110101111111111000000000000000000000000000001 +0 547 0001000000000001100110101111111111000000000000000000000000000001 +0 546 0001000000000001100110101111111111000000000000000000000000000001 +0 545 0001000000000001100110101111111111000000000000000000000000000001 +0 544 0001000000000001100110101111111111000000000000000000000000000001 +0 543 0001000000000001100110101111111111000000000000000000000000000001 +0 542 0001000000000001100110101111111111000000000000000000000000000001 +0 541 0001000000000001100110101111111111000000000000000000000000000001 +0 540 0001000000000001100110101111111111000000000000000000000000000001 +0 539 0001000000000001100110101111111111000000000000000000000000000001 +0 538 0001000000000001100110101111111111000000000000000000000000000001 +0 537 0001000000000001100110101111111111000000000000000000000000000001 +0 536 0001000000000001100110101111111111000000000000000000000000000001 +0 535 0001000000000001100110101111111111000000000000000000000000000001 +0 534 0001000000000001100110101111111111000000000000000000000000000001 +0 533 0001000000000001100110101111111111000000000000000000000000000001 +0 532 0001000000000001100110101111111111000000000000000000000000000001 +0 531 0001000000000001100110101111111111000000000000000000000000000001 +0 530 0001000000000001100110101111111111000000000000000000000000000001 +0 529 0001000000000001100110101111111111000000000000000000000000000001 +0 528 0001000000000001100110101111111111000000000000000000000000000001 +0 527 0001000000000001100110101111111111000000000000000000000000000001 +0 526 0001000000000001100110101111111111000000000000000000000000000001 +0 525 0001000000000001100110101111111111000000000000000000000000000001 +0 524 0001000000000001100110101111111111000000000000000000000000000001 +0 523 0001000000000001100110101111111111000000000000000000000000000001 +0 522 0001000000000001100110101111111111000000000000000000000000000001 +0 521 0001000000000001100110101111111111000000000000000000000000000001 +0 520 0001000000000001100110101111111111000000000000000000000000000001 +0 519 0001000000000001100110101111111111000000000000000000000000000001 +0 518 0001000000000001100110101111111111000000000000000000000000000001 +0 517 0001000000000001100110101111111111000000000000000000000000000001 +0 516 0001000000000001100110101111111111000000000000000000000000000001 +0 515 0001000000000001100110101111111111000000000000000000000000000001 +0 514 0001000000000001100110101111111111000000000000000000000000000001 +0 513 0001000000000001100110101111111111000000000000000000000000000001 +0 512 0001000000000001100110101111111111000000000000000000000000000001 +0 511 0001000000000001100110101111111111000000000000000000000000000001 +0 510 0001000000000001100110101111111111000000000000000000000000000001 +0 509 0001000000000001100110101111111111000000000000000000000000000001 +0 508 0001000000000001100110101111111111000000000000000000000000000001 +0 507 0001000000000001100110101111111111000000000000000000000000000001 +0 506 0001000000000001100110101111111111000000000000000000000000000001 +0 505 0001000000000001100110101111111111000000000000000000000000000001 +0 504 0001000000000001100110101111111111000000000000000000000000000001 +0 503 0001000000000001100110101111111111000000000000000000000000000001 +0 502 0001000000000001100110101111111111000000000000000000000000000001 +0 501 0001000000000001100110101111111111000000000000000000000000000001 +0 500 0001000000000001100110101111111111000000000000000000000000000001 +0 499 0001000000000001100110101111111111000000000000000000000000000001 +0 498 0001000000000001100110101111111111000000000000000000000000000001 +0 497 0001000000000001100110101111111111000000000000000000000000000001 +0 496 0001000000000001100110101111111111000000000000000000000000000001 +0 495 0001000000000001100110101111111111000000000000000000000000000001 +0 494 0001000000000001100110101111111111000000000000000000000000000001 +0 493 0001000000000001100110101111111111000000000000000000000000000001 +0 492 0001000000000001100110101111111111000000000000000000000000000001 +0 491 0001000000000001100110101111111111000000000000000000000000000001 +0 490 0001000000000001100110101111111111000000000000000000000000000001 +0 489 0001000000000001100110101111111111000000000000000000000000000001 +0 488 0001000000000001100110101111111111000000000000000000000000000001 +0 487 0001000000000001100110101111111111000000000000000000000000000001 +0 486 0001000000000001100110101111111111000000000000000000000000000001 +0 485 0001000000000001100110101111111111000000000000000000000000000001 +0 484 0001000000000001100110101111111111000000000000000000000000000001 +0 483 0001000000000001100110101111111111000000000000000000000000000001 +0 482 0001000000000001100110101111111111000000000000000000000000000001 +0 481 0001000000000001100110101111111111000000000000000000000000000001 +0 480 0001000000000001100110101111111111000000000000000000000000000001 +0 479 0001000000000001100110101111111111000000000000000000000000000001 +0 478 0001000000000001100110101111111111000000000000000000000000000001 +0 477 0001000000000001100110101111111111000000000000000000000000000001 +0 476 0001000000000001100110101111111111000000000000000000000000000001 +0 475 0001000000000001100110101111111111000000000000000000000000000001 +0 474 0001000000000001100110101111111111000000000000000000000000000001 +0 473 0001000000000001100110101111111111000000000000000000000000000001 +0 472 0001000000000001100110101111111111000000000000000000000000000001 +0 471 0001000000000001100110101111111111000000000000000000000000000001 +0 470 0001000000000001100110101111111111000000000000000000000000000001 +0 469 0001000000000001100110101111111111000000000000000000000000000001 +0 468 0001000000000001100110101111111111000000000000000000000000000001 +0 467 0001000000000001100110101111111111000000000000000000000000000001 +0 466 0001000000000001100110101111111111000000000000000000000000000001 +0 465 0001000000000001100110101111111111000000000000000000000000000001 +0 464 0001000000000001100110101111111111000000000000000000000000000001 +0 463 0001000000000001100110101111111111000000000000000000000000000001 +0 462 0001000000000001100110101111111111000000000000000000000000000001 +0 461 0001000000000001100110101111111111000000000000000000000000000001 +0 460 0001000000000001100110101111111111000000000000000000000000000001 +0 459 0001000000000001100110101111111111000000000000000000000000000001 +0 458 0001000000000001100110101111111111000000000000000000000000000001 +0 457 0001000000000001100110101111111111000000000000000000000000000001 +0 456 0001000000000001100110101111111111000000000000000000000000000001 +0 455 0001000000000001100110101111111111000000000000000000000000000001 +0 454 0001000000000001100110101111111111000000000000000000000000000001 +0 453 0001000000000001100110101111111111000000000000000000000000000001 +0 452 0001000000000001100110101111111111000000000000000000000000000001 +0 451 0001000000000001100110101111111111000000000000000000000000000001 +0 450 0001000000000001100110101111111111000000000000000000000000000001 +0 449 0001000000000001100110101111111111000000000000000000000000000001 +0 448 0001000000000001100110101111111111000000000000000000000000000001 +0 447 0001000000000001100110101111111111000000000000000000000000000001 +0 446 0001000000000001100110101111111111000000000000000000000000000001 +0 445 0001000000000001100110101111111111000000000000000000000000000001 +0 444 0001000000000001100110101111111111000000000000000000000000000001 +0 443 0001000000000001100110101111111111000000000000000000000000000001 +0 442 0001000000000001100110101111111111000000000000000000000000000001 +0 441 0001000000000001100110101111111111000000000000000000000000000001 +0 440 0001000000000001100110101111111111000000000000000000000000000001 +0 439 0001000000000001100110101111111111000000000000000000000000000001 +0 438 0001000000000001100110101111111111000000000000000000000000000001 +0 437 0001000000000001100110101111111111000000000000000000000000000001 +0 436 0001000000000001100110101111111111000000000000000000000000000001 +0 435 0001000000000001100110101111111111000000000000000000000000000001 +0 434 0001000000000001100110101111111111000000000000000000000000000001 +0 433 0001000000000001100110101111111111000000000000000000000000000001 +0 432 0001000000000001100110101111111111000000000000000000000000000001 +0 431 0001000000000001100110101111111111000000000000000000000000000001 +0 430 0001000000000001100110101111111111000000000000000000000000000001 +0 429 0001000000000001100110101111111111000000000000000000000000000001 +0 428 0001000000000001100110101111111111000000000000000000000000000001 +0 427 0001000000000001100110101111111111000000000000000000000000000001 +0 426 0001000000000001100110101111111111000000000000000000000000000001 +0 425 0001000000000001100110101111111111000000000000000000000000000001 +0 424 0001000000000001100110101111111111000000000000000000000000000001 +0 423 0001000000000001100110101111111111000000000000000000000000000001 +0 422 0001000000000001100110101111111111000000000000000000000000000001 +0 421 0001000000000001100110101111111111000000000000000000000000000001 +0 420 0001000000000001100110101111111111000000000000000000000000000001 +0 419 0001000000000001100110101111111111000000000000000000000000000001 +0 418 0001000000000001100110101111111111000000000000000000000000000001 +0 417 0001000000000001100110101111111111000000000000000000000000000001 +0 416 0001000000000001100110101111111111000000000000000000000000000001 +0 415 0001000000000001100110101111111111000000000000000000000000000001 +0 414 0001000000000001100110101111111111000000000000000000000000000001 +0 413 0001000000000001100110101111111111000000000000000000000000000001 +0 412 0001000000000001100110101111111111000000000000000000000000000001 +0 411 0001000000000001100110101111111111000000000000000000000000000001 +0 410 0001000000000001100110101111111111000000000000000000000000000001 +0 409 0001000000000001100110101111111111000000000000000000000000000001 +0 408 0001000000000001100110101111111111000000000000000000000000000001 +0 407 0001000000000001100110101111111111000000000000000000000000000001 +0 406 0001000000000001100110101111111111000000000000000000000000000001 +0 405 0001000000000001100110101111111111000000000000000000000000000001 +0 404 0001000000000001100110101111111111000000000000000000000000000001 +0 403 0001000000000001100110101111111111000000000000000000000000000001 +0 402 0001000000000001100110101111111111000000000000000000000000000001 +0 401 0001000000000001100110101111111111000000000000000000000000000001 +0 400 0001000000000001100110101111111111000000000000000000000000000001 +0 399 0001000000000001100110101111111111000000000000000000000000000001 +0 398 0001000000000001100110101111111111000000000000000000000000000001 +0 397 0001000000000001100110101111111111000000000000000000000000000001 +0 396 0001000000000001100110101111111111000000000000000000000000000001 +0 395 0001000000000001100110101111111111000000000000000000000000000001 +0 394 0001000000000001100110101111111111000000000000000000000000000001 +0 393 0001000000000001100110101111111111000000000000000000000000000001 +0 392 0001000000000001100110101111111111000000000000000000000000000001 +0 391 0001000000000001100110101111111111000000000000000000000000000001 +0 390 0001000000000001100110101111111111000000000000000000000000000001 +0 389 0001000000000001100110101111111111000000000000000000000000000001 +0 388 0001000000000001100110101111111111000000000000000000000000000001 +0 387 0001000000000001100110101111111111000000000000000000000000000001 +0 386 0001000000000001100110101111111111000000000000000000000000000001 +0 385 0001000000000001100110101111111111000000000000000000000000000001 +0 384 0001000000000001100110101111111111000000000000000000000000000001 +0 383 0001000000000001100110101111111111000000000000000000000000000001 +0 382 0001000000000001100110101111111111000000000000000000000000000001 +0 381 0001000000000001100110101111111111000000000000000000000000000001 +0 380 0001000000000001100110101111111111000000000000000000000000000001 +0 379 0001000000000001100110101111111111000000000000000000000000000001 +0 378 0001000000000001100110101111111111000000000000000000000000000001 +0 377 0001000000000001100110101111111111000000000000000000000000000001 +0 376 0001000000000001100110101111111111000000000000000000000000000001 +0 375 0001000000000001100110101111111111000000000000000000000000000001 +0 374 0001000000000001100110101111111111000000000000000000000000000001 +0 373 0001000000000001100110101111111111000000000000000000000000000001 +0 372 0001000000000001100110101111111111000000000000000000000000000001 +0 371 0001000000000001100110101111111111000000000000000000000000000001 +0 370 0001000000000001100110101111111111000000000000000000000000000001 +0 369 0001000000000001100110101111111111000000000000000000000000000001 +0 368 0001000000000001100110101111111111000000000000000000000000000001 +0 367 0001000000000001100110101111111111000000000000000000000000000001 +0 366 0001000000000001100110101111111111000000000000000000000000000001 +0 365 0001000000000001100110101111111111000000000000000000000000000001 +0 364 0001000000000001100110101111111111000000000000000000000000000001 +0 363 0001000000000001100110101111111111000000000000000000000000000001 +0 362 0001000000000001100110101111111111000000000000000000000000000001 +0 361 0001000000000001100110101111111111000000000000000000000000000001 +0 360 0001000000000001100110101111111111000000000000000000000000000001 +0 359 0001000000000001100110101111111111000000000000000000000000000001 +0 358 0001000000000001100110101111111111000000000000000000000000000001 +0 357 0001000000000001100110101111111111000000000000000000000000000001 +0 356 0001000000000001100110101111111111000000000000000000000000000001 +0 355 0001000000000001100110101111111111000000000000000000000000000001 +0 354 0001000000000001100110101111111111000000000000000000000000000001 +0 353 0001000000000001100110101111111111000000000000000000000000000001 +0 352 0001000000000001100110101111111111000000000000000000000000000001 +0 351 0001000000000001100110101111111111000000000000000000000000000001 +0 350 0001000000000001100110101111111111000000000000000000000000000001 +0 349 0001000000000001100110101111111111000000000000000000000000000001 +0 348 0001000000000001100110101111111111000000000000000000000000000001 +0 347 0001000000000001100110101111111111000000000000000000000000000001 +0 346 0001000000000001100110101111111111000000000000000000000000000001 +0 345 0001000000000001100110101111111111000000000000000000000000000001 +0 344 0001000000000001100110101111111111000000000000000000000000000001 +0 343 0001000000000001100110101111111111000000000000000000000000000001 +0 342 0001000000000001100110101111111111000000000000000000000000000001 +0 341 0001000000000001100110101111111111000000000000000000000000000001 +0 340 0001000000000001100110101111111111000000000000000000000000000001 +0 339 0001000000000001100110101111111111000000000000000000000000000001 +0 338 0001000000000001100110101111111111000000000000000000000000000001 +0 337 0001000000000001100110101111111111000000000000000000000000000001 +0 336 0001000000000001100110101111111111000000000000000000000000000001 +0 335 0001000000000001100110101111111111000000000000000000000000000001 +0 334 0001000000000001100110101111111111000000000000000000000000000001 +0 333 0001000000000001100110101111111111000000000000000000000000000001 +0 332 0001000000000001100110101111111111000000000000000000000000000001 +0 331 0001000000000001100110101111111111000000000000000000000000000001 +0 330 0001000000000001100110101111111111000000000000000000000000000001 +0 329 0001000000000001100110101111111111000000000000000000000000000001 +0 328 0001000000000001100110101111111111000000000000000000000000000001 +0 327 0001000000000001100110101111111111000000000000000000000000000001 +0 326 0001000000000001100110101111111111000000000000000000000000000001 +0 325 0001000000000001100110101111111111000000000000000000000000000001 +0 324 0001000000000001100110101111111111000000000000000000000000000001 +0 323 0001000000000001100110101111111111000000000000000000000000000001 +0 322 0001000000000001100110101111111111000000000000000000000000000001 +0 321 0001000000000001100110101111111111000000000000000000000000000001 +0 320 0001000000000001100110101111111111000000000000000000000000000001 +0 319 0001000000000001100110101111111111000000000000000000000000000001 +0 318 0001000000000001100110101111111111000000000000000000000000000001 +0 317 0001000000000001100110101111111111000000000000000000000000000001 +0 316 0001000000000001100110101111111111000000000000000000000000000001 +0 315 0001000000000001100110101111111111000000000000000000000000000001 +0 314 0001000000000001100110101111111111000000000000000000000000000001 +0 313 0001000000000001100110101111111111000000000000000000000000000001 +0 312 0001000000000001100110101111111111000000000000000000000000000001 +0 311 0001000000000001100110101111111111000000000000000000000000000001 +0 310 0001000000000001100110101111111111000000000000000000000000000001 +0 309 0001000000000001100110101111111111000000000000000000000000000001 +0 308 0001000000000001100110101111111111000000000000000000000000000001 +0 307 0001000000000001100110101111111111000000000000000000000000000001 +0 306 0001000000000001100110101111111111000000000000000000000000000001 +0 305 0001000000000001100110101111111111000000000000000000000000000001 +0 304 0001000000000001100110101111111111000000000000000000000000000001 +0 303 0001000000000001100110101111111111000000000000000000000000000001 +0 302 0001000000000001100110101111111111000000000000000000000000000001 +0 301 0001000000000001100110101111111111000000000000000000000000000001 +0 300 0001000000000001100110101111111111000000000000000000000000000001 +0 299 0001000000000001100110101111111111000000000000000000000000000001 +0 298 0001000000000001100110101111111111000000000000000000000000000001 +0 297 0001000000000001100110101111111111000000000000000000000000000001 +0 296 0001000000000001100110101111111111000000000000000000000000000001 +0 295 0001000000000001100110101111111111000000000000000000000000000001 +0 294 0001000000000001100110101111111111000000000000000000000000000001 +0 293 0001000000000001100110101111111111000000000000000000000000000001 +0 292 0001000000000001100110101111111111000000000000000000000000000001 +0 291 0001000000000001100110101111111111000000000000000000000000000001 +0 290 0001000000000001100110101111111111000000000000000000000000000001 +0 289 0001000000000001100110101111111111000000000000000000000000000001 +0 288 0001000000000001100110101111111111000000000000000000000000000001 +0 287 0001000000000001100110101111111111000000000000000000000000000001 +0 286 0001000000000001100110101111111111000000000000000000000000000001 +0 285 0001000000000001100110101111111111000000000000000000000000000001 +0 284 0001000000000001100110101111111111000000000000000000000000000001 +0 283 0001000000000001100110101111111111000000000000000000000000000001 +0 282 0001000000000001100110101111111111000000000000000000000000000001 +0 281 0001000000000001100110101111111111000000000000000000000000000001 +0 280 0001000000000001100110101111111111000000000000000000000000000001 +0 279 0001000000000001100110101111111111000000000000000000000000000001 +0 278 0001000000000001100110101111111111000000000000000000000000000001 +0 277 0001000000000001100110101111111111000000000000000000000000000001 +0 276 0001000000000001100110101111111111000000000000000000000000000001 +0 275 0001000000000001100110101111111111000000000000000000000000000001 +0 274 0001000000000001100110101111111111000000000000000000000000000001 +0 273 0001000000000001100110101111111111000000000000000000000000000001 +0 272 0001000000000001100110101111111111000000000000000000000000000001 +0 271 0001000000000001100110101111111111000000000000000000000000000001 +0 270 0001000000000001100110101111111111000000000000000000000000000001 +0 269 0001000000000001100110101111111111000000000000000000000000000001 +0 268 0001000000000001100110101111111111000000000000000000000000000001 +0 267 0001000000000001100110101111111111000000000000000000000000000001 +0 266 0001000000000001100110101111111111000000000000000000000000000001 +0 265 0001000000000001100110101111111111000000000000000000000000000001 +0 264 0001000000000001100110101111111111000000000000000000000000000001 +0 263 0001000000000001100110101111111111000000000000000000000000000001 +0 262 0001000000000001100110101111111111000000000000000000000000000001 +0 261 0001000000000001100110101111111111000000000000000000000000000001 +0 260 0001000000000001100110101111111111000000000000000000000000000001 +0 259 0001000000000001100110101111111111000000000000000000000000000001 +0 258 0001000000000001100110101111111111000000000000000000000000000001 +0 257 0001000000000001100110101111111111000000000000000000000000000001 +0 256 0001000000000001100110101111111111000000000000000000000000000001 +0 255 0001000000000001100110101111111111000000000000000000000000000001 +0 254 0001000000000001100110101111111111000000000000000000000000000001 +0 253 0001000000000001100110101111111111000000000000000000000000000001 +0 252 0001000000000001100110101111111111000000000000000000000000000001 +0 251 0001000000000001100110101111111111000000000000000000000000000001 +0 250 0001000000000001100110101111111111000000000000000000000000000001 +0 249 0001000000000001100110101111111111000000000000000000000000000001 +0 248 0001000000000001100110101111111111000000000000000000000000000001 +0 247 0001000000000001100110101111111111000000000000000000000000000001 +0 246 0001000000000001100110101111111111000000000000000000000000000001 +0 245 0001000000000001100110101111111111000000000000000000000000000001 +0 244 0001000000000001100110101111111111000000000000000000000000000001 +0 243 0001000000000001100110101111111111000000000000000000000000000001 +0 242 0001000000000001100110101111111111000000000000000000000000000001 +0 241 0001000000000001100110101111111111000000000000000000000000000001 +0 240 0001000000000001100110101111111111000000000000000000000000000001 +0 239 0001000000000001100110101111111111000000000000000000000000000001 +0 238 0001000000000001100110101111111111000000000000000000000000000001 +0 237 0001000000000001100110101111111111000000000000000000000000000001 +0 236 0001000000000001100110101111111111000000000000000000000000000001 +0 235 0001000000000001100110101111111111000000000000000000000000000001 +0 234 0001000000000001100110101111111111000000000000000000000000000001 +0 233 0001000000000001100110101111111111000000000000000000000000000001 +0 232 0001000000000001100110101111111111000000000000000000000000000001 +0 231 0001000000000001100110101111111111000000000000000000000000000001 +0 230 0001000000000001100110101111111111000000000000000000000000000001 +0 229 0001000000000001100110101111111111000000000000000000000000000001 +0 228 0001000000000001100110101111111111000000000000000000000000000001 +0 227 0001000000000001100110101111111111000000000000000000000000000001 +0 226 0001000000000001100110101111111111000000000000000000000000000001 +0 225 0001000000000001100110101111111111000000000000000000000000000001 +0 224 0001000000000001100110101111111111000000000000000000000000000001 +0 223 0001000000000001100110101111111111000000000000000000000000000001 +0 222 0001000000000001100110101111111111000000000000000000000000000001 +0 221 0001000000000001100110101111111111000000000000000000000000000001 +0 220 0001000000000001100110101111111111000000000000000000000000000001 +0 219 0001000000000001100110101111111111000000000000000000000000000001 +0 218 0001000000000001100110101111111111000000000000000000000000000001 +0 217 0001000000000001100110101111111111000000000000000000000000000001 +0 216 0001000000000001100110101111111111000000000000000000000000000001 +0 215 0001000000000001100110101111111111000000000000000000000000000001 +0 214 0001000000000001100110101111111111000000000000000000000000000001 +0 213 0001000000000001100110101111111111000000000000000000000000000001 +0 212 0001000000000001100110101111111111000000000000000000000000000001 +0 211 0001000000000001100110101111111111000000000000000000000000000001 +0 210 0001000000000001100110101111111111000000000000000000000000000001 +0 209 0001000000000001100110101111111111000000000000000000000000000001 +0 208 0001000000000001100110101111111111000000000000000000000000000001 +0 207 0001000000000001100110101111111111000000000000000000000000000001 +0 206 0001000000000001100110101111111111000000000000000000000000000001 +0 205 0001000000000001100110101111111111000000000000000000000000000001 +0 204 0001000000000001100110101111111111000000000000000000000000000001 +0 203 0001000000000001100110101111111111000000000000000000000000000001 +0 202 0001000000000001100110101111111111000000000000000000000000000001 +0 201 0001000000000001100110101111111111000000000000000000000000000001 +0 200 0001000000000001100110101111111111000000000000000000000000000001 +0 199 0001000000000001100110101111111111000000000000000000000000000001 +0 198 0001000000000001100110101111111111000000000000000000000000000001 +0 197 0001000000000001100110101111111111000000000000000000000000000001 +0 196 0001000000000001100110101111111111000000000000000000000000000001 +0 195 0001000000000001100110101111111111000000000000000000000000000001 +0 194 0001000000000001100110101111111111000000000000000000000000000001 +0 193 0001000000000001100110101111111111000000000000000000000000000001 +0 192 0001000000000001100110101111111111000000000000000000000000000001 +0 191 0001000000000001100110101111111111000000000000000000000000000001 +0 190 0001000000000001100110101111111111000000000000000000000000000001 +0 189 0001000000000001100110101111111111000000000000000000000000000001 +0 188 0001000000000001100110101111111111000000000000000000000000000001 +0 187 0001000000000001100110101111111111000000000000000000000000000001 +0 186 0001000000000001100110101111111111000000000000000000000000000001 +0 185 0001000000000001100110101111111111000000000000000000000000000001 +0 184 0001000000000001100110101111111111000000000000000000000000000001 +0 183 0001000000000001100110101111111111000000000000000000000000000001 +0 182 0001000000000001100110101111111111000000000000000000000000000001 +0 181 0001000000000001100110101111111111000000000000000000000000000001 +0 180 0001000000000001100110101111111111000000000000000000000000000001 +0 179 0001000000000001100110101111111111000000000000000000000000000001 +0 178 0001000000000001100110101111111111000000000000000000000000000001 +0 177 0001000000000001100110101111111111000000000000000000000000000001 +0 176 0001000000000001100110101111111111000000000000000000000000000001 +0 175 0001000000000001100110101111111111000000000000000000000000000001 +0 174 0001000000000001100110101111111111000000000000000000000000000001 +0 173 0001000000000001100110101111111111000000000000000000000000000001 +0 172 0001000000000001100110101111111111000000000000000000000000000001 +0 171 0001000000000001100110101111111111000000000000000000000000000001 +0 170 0001000000000001100110101111111111000000000000000000000000000001 +0 169 0001000000000001100110101111111111000000000000000000000000000001 +0 168 0001000000000001100110101111111111000000000000000000000000000001 +0 167 0001000000000001100110101111111111000000000000000000000000000001 +0 166 0001000000000001100110101111111111000000000000000000000000000001 +0 165 0001000000000001100110101111111111000000000000000000000000000001 +0 164 0001000000000001100110101111111111000000000000000000000000000001 +0 163 0001000000000001100110101111111111000000000000000000000000000001 +0 162 0001000000000001100110101111111111000000000000000000000000000001 +0 161 0001000000000001100110101111111111000000000000000000000000000001 +0 160 0001000000000001100110101111111111000000000000000000000000000001 +0 159 0001000000000001100110101111111111000000000000000000000000000001 +0 158 0001000000000001100110101111111111000000000000000000000000000001 +0 157 0001000000000001100110101111111111000000000000000000000000000001 +0 156 0001000000000001100110101111111111000000000000000000000000000001 +0 155 0001000000000001100110101111111111000000000000000000000000000001 +0 154 0001000000000001100110101111111111000000000000000000000000000001 +0 153 0001000000000001100110101111111111000000000000000000000000000001 +0 152 0001000000000001100110101111111111000000000000000000000000000001 +0 151 0001000000000001100110101111111111000000000000000000000000000001 +0 150 0001000000000001100110101111111111000000000000000000000000000001 +0 149 0001000000000001100110101111111111000000000000000000000000000001 +0 148 0001000000000001100110101111111111000000000000000000000000000001 +0 147 0001000000000001100110101111111111000000000000000000000000000001 +0 146 0001000000000001100110101111111111000000000000000000000000000001 +0 145 0001000000000001100110101111111111000000000000000000000000000001 +0 144 0001000000000001100110101111111111000000000000000000000000000001 +0 143 0001000000000001100110101111111111000000000000000000000000000001 +0 142 0001000000000001100110101111111111000000000000000000000000000001 +0 141 0001000000000001100110101111111111000000000000000000000000000001 +0 140 0001000000000001100110101111111111000000000000000000000000000001 +0 139 0001000000000001100110101111111111000000000000000000000000000001 +0 138 0001000000000001100110101111111111000000000000000000000000000001 +0 137 0001000000000001100110101111111111000000000000000000000000000001 +0 136 0001000000000001100110101111111111000000000000000000000000000001 +0 135 0001000000000001100110101111111111000000000000000000000000000001 +0 134 0001000000000001100110101111111111000000000000000000000000000001 +0 133 0001000000000001100110101111111111000000000000000000000000000001 +0 132 0001000000000001100110101111111111000000000000000000000000000001 +0 131 0001000000000001100110101111111111000000000000000000000000000001 +0 130 0001000000000001100110101111111111000000000000000000000000000001 +0 129 0001000000000001100110101111111111000000000000000000000000000001 +0 128 0001000000000001100110101111111111000000000000000000000000000001 +0 127 0001000000000001100110101111111111000000000000000000000000000001 +0 126 0001000000000001100110101111111111000000000000000000000000000001 +0 125 0001000000000001100110101111111111000000000000000000000000000001 +0 124 0001000000000001100110101111111111000000000000000000000000000001 +0 123 0001000000000001100110101111111111000000000000000000000000000001 +0 122 0001000000000001100110101111111111000000000000000000000000000001 +0 121 0001000000000001100110101111111111000000000000000000000000000001 +0 120 0001000000000001100110101111111111000000000000000000000000000001 +0 119 0001000000000001100110101111111111000000000000000000000000000001 +0 118 0001000000000001100110101111111111000000000000000000000000000001 +0 117 0001000000000001100110101111111111000000000000000000000000000001 +0 116 0001000000000001100110101111111111000000000000000000000000000001 +0 115 0001000000000001100110101111111111000000000000000000000000000001 +0 114 0001000000000001100110101111111111000000000000000000000000000001 +0 113 0001000000000001100110101111111111000000000000000000000000000001 +0 112 0001000000000001100110101111111111000000000000000000000000000001 +0 111 0001000000000001100110101111111111000000000000000000000000000001 +0 110 0001000000000001100110101111111111000000000000000000000000000001 +0 109 0001000000000001100110101111111111000000000000000000000000000001 +0 108 0001000000000001100110101111111111000000000000000000000000000001 +0 107 0001000000000001100110101111111111000000000000000000000000000001 +0 106 0001000000000001100110101111111111000000000000000000000000000001 +0 105 0001000000000001100110101111111111000000000000000000000000000001 +0 104 0001000000000001100110101111111111000000000000000000000000000001 +0 103 0001000000000001100110101111111111000000000000000000000000000001 +0 102 0001000000000001100110101111111111000000000000000000000000000001 +0 101 0001000000000001100110101111111111000000000000000000000000000001 +0 100 0001000000000001100110101111111111000000000000000000000000000001 +0 99 0001000000000001100110101111111111000000000000000000000000000001 +0 98 0001000000000001100110101111111111000000000000000000000000000001 +0 97 0001000000000001100110101111111111000000000000000000000000000001 +0 96 0001000000000001100110101111111111000000000000000000000000000001 +0 95 0001000000000001100110101111111111000000000000000000000000000001 +0 94 0001000000000001100110101111111111000000000000000000000000000001 +0 93 0001000000000001100110101111111111000000000000000000000000000001 +0 92 0001000000000001100110101111111111000000000000000000000000000001 +0 91 0001000000000001100110101111111111000000000000000000000000000001 +0 90 0001000000000001100110101111111111000000000000000000000000000001 +0 89 0001000000000001100110101111111111000000000000000000000000000001 +0 88 0001000000000001100110101111111111000000000000000000000000000001 +0 87 0001000000000001100110101111111111000000000000000000000000000001 +0 86 0001000000000001100110101111111111000000000000000000000000000001 +0 85 0001000000000001100110101111111111000000000000000000000000000001 +0 84 0001000000000001100110101111111111000000000000000000000000000001 +0 83 0001000000000001100110101111111111000000000000000000000000000001 +0 82 0001000000000001100110101111111111000000000000000000000000000001 +0 81 0001000000000001100110101111111111000000000000000000000000000001 +0 80 0001000000000001100110101111111111000000000000000000000000000001 +0 79 0001000000000001100110101111111111000000000000000000000000000001 +0 78 0001000000000001100110101111111111000000000000000000000000000001 +0 77 0001000000000001100110101111111111000000000000000000000000000001 +0 76 0001000000000001100110101111111111000000000000000000000000000001 +0 75 0001000000000001100110101111111111000000000000000000000000000001 +0 74 0001000000000001100110101111111111000000000000000000000000000001 +0 73 0001000000000001100110101111111111000000000000000000000000000001 +0 72 0001000000000001100110101111111111000000000000000000000000000001 +0 71 0001000000000001100110101111111111000000000000000000000000000001 +0 70 0001000000000001100110101111111111000000000000000000000000000001 +0 69 0001000000000001100110101111111111000000000000000000000000000001 +0 68 0001000000000001100110101111111111000000000000000000000000000001 +0 67 0001000000000001100110101111111111000000000000000000000000000001 +0 66 0001000000000001100110101111111111000000000000000000000000000001 +0 65 0001000000000001100110101111111111000000000000000000000000000001 +0 64 0001000000000001100110101111111111000000000000000000000000000001 +0 63 0001000000000001100110101111111111000000000000000000000000000001 +0 62 0001000000000001100110101111111111000000000000000000000000000001 +0 61 0001000000000001100110101111111111000000000000000000000000000001 +0 60 0001000000000001100110101111111111000000000000000000000000000001 +0 59 0001000000000001100110101111111111000000000000000000000000000001 +0 58 0001000000000001100110101111111111000000000000000000000000000001 +0 57 0001000000000001100110101111111111000000000000000000000000000001 +0 56 0001000000000001100110101111111111000000000000000000000000000001 +0 55 0001000000000001100110101111111111000000000000000000000000000001 +0 54 0001000000000001100110101111111111000000000000000000000000000001 +0 53 0001000000000001100110101111111111000000000000000000000000000001 +0 52 0001000000000001100110101111111111000000000000000000000000000001 +0 51 0001000000000001100110101111111111000000000000000000000000000001 +0 50 0001000000000001100110101111111111000000000000000000000000000001 +0 49 0001000000000001100110101111111111000000000000000000000000000001 +0 48 0001000000000001100110101111111111000000000000000000000000000001 +0 47 0001000000000001100110101111111111000000000000000000000000000001 +0 46 0001000000000001100110101111111111000000000000000000000000000001 +0 45 0001000000000001100110101111111111000000000000000000000000000001 +0 44 0001000000000001100110101111111111000000000000000000000000000001 +0 43 0001000000000001100110101111111111000000000000000000000000000001 +0 42 0001000000000001100110101111111111000000000000000000000000000001 +0 41 0001000000000001100110101111111111000000000000000000000000000001 +0 40 0001000000000001100110101111111111000000000000000000000000000001 +0 39 0001000000000001100110101111111111000000000000000000000000000001 +0 38 0001000000000001100110101111111111000000000000000000000000000001 +0 37 0001000000000001100110101111111111000000000000000000000000000001 +0 36 0001000000000001100110101111111111000000000000000000000000000001 +0 35 0001000000000001100110101111111111000000000000000000000000000001 +0 34 0001000000000001100110101111111111000000000000000000000000000001 +0 33 0001000000000001100110101111111111000000000000000000000000000001 +0 32 0001000000000001100110101111111111000000000000000000000000000001 +0 31 0001000000000001100110101111111111000000000000000000000000000001 +0 30 0001000000000001100110101111111111000000000000000000000000000001 +0 29 0001000000000001100110101111111111000000000000000000000000000001 +0 28 0001000000000001100110101111111111000000000000000000000000000001 +0 27 0001000000000001100110101111111111000000000000000000000000000001 +0 26 0001000000000001100110101111111111000000000000000000000000000001 +0 25 0001000000000001100110101111111111000000000000000000000000000001 +0 24 0001000000000001100110101111111111000000000000000000000000000001 +0 23 0001000000000001100110101111111111000000000000000000000000000001 +0 22 0001000000000001100110101111111111000000000000000000000000000001 +0 21 0001000000000001100110101111111111000000000000000000000000000001 +0 20 0001000000000001100110101111111111000000000000000000000000000001 +0 19 0001000000000001100110101111111111000000000000000000000000000001 +0 18 0001000000000001100110101111111111000000000000000000000000000001 +0 17 0001000000000001100110101111111111000000000000000000000000000001 +0 16 0001000000000001100110101111111111000000000000000000000000000001 +0 15 0001000000000001100110101111111111000000000000000000000000000001 +0 14 0001000000000001100110101111111111000000000000000000000000000001 +0 13 0001000000000001100110101111111111000000000000000000000000000001 +0 12 0001000000000001100110101111111111000000000000000000000000000001 +0 11 0001000000000001100110101111111111000000000000000000000000000001 +0 10 0001000000000001100110101111111111000000000000000000000000000001 +0 9 0001000000000001100110101111111111000000000000000000000000000001 +0 8 0001000000000001100110101111111111000000000000000000000000000001 +0 7 0001000000000001100110101111111111000000000000000000000000000001 +0 6 0001000000000001100110101111111111000000000000000000000000000001 +0 5 0001000000000001100110101111111111000000000000000000000000000001 +0 4 0001000000000001100110101111111111000000000000000000000000000001 +0 3 0001000000000001100110101111111111000000000000000000000000000001 +0 2 0001000000000001100110101111111111000000000000000000000000000001 +0 1 0001000000000001100110101111111111000000000000000000000000000001 +0 0 0001000000000001100110101111111111000000000000000000000000000001 +0 540 0001000000000001101110010000000000000000000000000000000000000001 +0 264 0001000000000011101011110000000000000000000000000000000000000001 +0 787 0001000000000001110010100000000000000000000000000000000000000001 +0 1023 0001000000000010000000001111111111000000000000000000000000000001 +0 1022 0001000000000010000000001111111111000000000000000000000000000001 +0 1021 0001000000000010000000001111111111000000000000000000000000000001 +0 1020 0001000000000010000000001111111111000000000000000000000000000001 +0 1019 0001000000000010000000001111111111000000000000000000000000000001 +0 1018 0001000000000010000000001111111111000000000000000000000000000001 +0 1017 0001000000000010000000001111111111000000000000000000000000000001 +0 1016 0001000000000010000000001111111111000000000000000000000000000001 +0 1015 0001000000000010000000001111111111000000000000000000000000000001 +0 1014 0001000000000010000000001111111111000000000000000000000000000001 +0 1013 0001000000000010000000001111111111000000000000000000000000000001 +0 1012 0001000000000010000000001111111111000000000000000000000000000001 +0 1011 0001000000000010000000001111111111000000000000000000000000000001 +0 1010 0001000000000010000000001111111111000000000000000000000000000001 +0 1009 0001000000000010000000001111111111000000000000000000000000000001 +0 1008 0001000000000010000000001111111111000000000000000000000000000001 +0 1007 0001000000000010000000001111111111000000000000000000000000000001 +0 1006 0001000000000010000000001111111111000000000000000000000000000001 +0 1005 0001000000000010000000001111111111000000000000000000000000000001 +0 1004 0001000000000010000000001111111111000000000000000000000000000001 +0 1003 0001000000000010000000001111111111000000000000000000000000000001 +0 1002 0001000000000010000000001111111111000000000000000000000000000001 +0 1001 0001000000000010000000001111111111000000000000000000000000000001 +0 1000 0001000000000010000000001111111111000000000000000000000000000001 +0 999 0001000000000010000000001111111111000000000000000000000000000001 +0 998 0001000000000010000000001111111111000000000000000000000000000001 +0 997 0001000000000010000000001111111111000000000000000000000000000001 +0 996 0001000000000010000000001111111111000000000000000000000000000001 +0 995 0001000000000010000000001111111111000000000000000000000000000001 +0 994 0001000000000010000000001111111111000000000000000000000000000001 +0 993 0001000000000010000000001111111111000000000000000000000000000001 +0 992 0001000000000010000000001111111111000000000000000000000000000001 +0 991 0001000000000010000000001111111111000000000000000000000000000001 +0 990 0001000000000010000000001111111111000000000000000000000000000001 +0 989 0001000000000010000000001111111111000000000000000000000000000001 +0 988 0001000000000010000000001111111111000000000000000000000000000001 +0 987 0001000000000010000000001111111111000000000000000000000000000001 +0 986 0001000000000010000000001111111111000000000000000000000000000001 +0 985 0001000000000010000000001111111111000000000000000000000000000001 +0 984 0001000000000010000000001111111111000000000000000000000000000001 +0 983 0001000000000010000000001111111111000000000000000000000000000001 +0 982 0001000000000010000000001111111111000000000000000000000000000001 +0 981 0001000000000010000000001111111111000000000000000000000000000001 +0 980 0001000000000010000000001111111111000000000000000000000000000001 +0 979 0001000000000010000000001111111111000000000000000000000000000001 +0 978 0001000000000010000000001111111111000000000000000000000000000001 +0 977 0001000000000010000000001111111111000000000000000000000000000001 +0 976 0001000000000010000000001111111111000000000000000000000000000001 +0 975 0001000000000010000000001111111111000000000000000000000000000001 +0 974 0001000000000010000000001111111111000000000000000000000000000001 +0 973 0001000000000010000000001111111111000000000000000000000000000001 +0 972 0001000000000010000000001111111111000000000000000000000000000001 +0 971 0001000000000010000000001111111111000000000000000000000000000001 +0 970 0001000000000010000000001111111111000000000000000000000000000001 +0 969 0001000000000010000000001111111111000000000000000000000000000001 +0 968 0001000000000010000000001111111111000000000000000000000000000001 +0 967 0001000000000010000000001111111111000000000000000000000000000001 +0 966 0001000000000010000000001111111111000000000000000000000000000001 +0 965 0001000000000010000000001111111111000000000000000000000000000001 +0 964 0001000000000010000000001111111111000000000000000000000000000001 +0 963 0001000000000010000000001111111111000000000000000000000000000001 +0 962 0001000000000010000000001111111111000000000000000000000000000001 +0 961 0001000000000010000000001111111111000000000000000000000000000001 +0 960 0001000000000010000000001111111111000000000000000000000000000001 +0 959 0001000000000010000000001111111111000000000000000000000000000001 +0 958 0001000000000010000000001111111111000000000000000000000000000001 +0 957 0001000000000010000000001111111111000000000000000000000000000001 +0 956 0001000000000010000000001111111111000000000000000000000000000001 +0 955 0001000000000010000000001111111111000000000000000000000000000001 +0 954 0001000000000010000000001111111111000000000000000000000000000001 +0 953 0001000000000010000000001111111111000000000000000000000000000001 +0 952 0001000000000010000000001111111111000000000000000000000000000001 +0 951 0001000000000010000000001111111111000000000000000000000000000001 +0 950 0001000000000010000000001111111111000000000000000000000000000001 +0 949 0001000000000010000000001111111111000000000000000000000000000001 +0 948 0001000000000010000000001111111111000000000000000000000000000001 +0 947 0001000000000010000000001111111111000000000000000000000000000001 +0 946 0001000000000010000000001111111111000000000000000000000000000001 +0 945 0001000000000010000000001111111111000000000000000000000000000001 +0 944 0001000000000010000000001111111111000000000000000000000000000001 +0 943 0001000000000010000000001111111111000000000000000000000000000001 +0 942 0001000000000010000000001111111111000000000000000000000000000001 +0 941 0001000000000010000000001111111111000000000000000000000000000001 +0 940 0001000000000010000000001111111111000000000000000000000000000001 +0 939 0001000000000010000000001111111111000000000000000000000000000001 +0 938 0001000000000010000000001111111111000000000000000000000000000001 +0 937 0001000000000010000000001111111111000000000000000000000000000001 +0 936 0001000000000010000000001111111111000000000000000000000000000001 +0 935 0001000000000010000000001111111111000000000000000000000000000001 +0 934 0001000000000010000000001111111111000000000000000000000000000001 +0 933 0001000000000010000000001111111111000000000000000000000000000001 +0 932 0001000000000010000000001111111111000000000000000000000000000001 +0 931 0001000000000010000000001111111111000000000000000000000000000001 +0 930 0001000000000010000000001111111111000000000000000000000000000001 +0 929 0001000000000010000000001111111111000000000000000000000000000001 +0 928 0001000000000010000000001111111111000000000000000000000000000001 +0 927 0001000000000010000000001111111111000000000000000000000000000001 +0 926 0001000000000010000000001111111111000000000000000000000000000001 +0 925 0001000000000010000000001111111111000000000000000000000000000001 +0 924 0001000000000010000000001111111111000000000000000000000000000001 +0 923 0001000000000010000000001111111111000000000000000000000000000001 +0 922 0001000000000010000000001111111111000000000000000000000000000001 +0 921 0001000000000010000000001111111111000000000000000000000000000001 +0 920 0001000000000010000000001111111111000000000000000000000000000001 +0 919 0001000000000010000000001111111111000000000000000000000000000001 +0 918 0001000000000010000000001111111111000000000000000000000000000001 +0 917 0001000000000010000000001111111111000000000000000000000000000001 +0 916 0001000000000010000000001111111111000000000000000000000000000001 +0 915 0001000000000010000000001111111111000000000000000000000000000001 +0 914 0001000000000010000000001111111111000000000000000000000000000001 +0 913 0001000000000010000000001111111111000000000000000000000000000001 +0 912 0001000000000010000000001111111111000000000000000000000000000001 +0 911 0001000000000010000000001111111111000000000000000000000000000001 +0 910 0001000000000010000000001111111111000000000000000000000000000001 +0 909 0001000000000010000000001111111111000000000000000000000000000001 +0 908 0001000000000010000000001111111111000000000000000000000000000001 +0 907 0001000000000010000000001111111111000000000000000000000000000001 +0 906 0001000000000010000000001111111111000000000000000000000000000001 +0 905 0001000000000010000000001111111111000000000000000000000000000001 +0 904 0001000000000010000000001111111111000000000000000000000000000001 +0 903 0001000000000010000000001111111111000000000000000000000000000001 +0 902 0001000000000010000000001111111111000000000000000000000000000001 +0 901 0001000000000010000000001111111111000000000000000000000000000001 +0 900 0001000000000010000000001111111111000000000000000000000000000001 +0 899 0001000000000010000000001111111111000000000000000000000000000001 +0 898 0001000000000010000000001111111111000000000000000000000000000001 +0 897 0001000000000010000000001111111111000000000000000000000000000001 +0 896 0001000000000010000000001111111111000000000000000000000000000001 +0 895 0001000000000010000000001111111111000000000000000000000000000001 +0 894 0001000000000010000000001111111111000000000000000000000000000001 +0 893 0001000000000010000000001111111111000000000000000000000000000001 +0 892 0001000000000010000000001111111111000000000000000000000000000001 +0 891 0001000000000010000000001111111111000000000000000000000000000001 +0 890 0001000000000010000000001111111111000000000000000000000000000001 +0 889 0001000000000010000000001111111111000000000000000000000000000001 +0 888 0001000000000010000000001111111111000000000000000000000000000001 +0 887 0001000000000010000000001111111111000000000000000000000000000001 +0 886 0001000000000010000000001111111111000000000000000000000000000001 +0 885 0001000000000010000000001111111111000000000000000000000000000001 +0 884 0001000000000010000000001111111111000000000000000000000000000001 +0 883 0001000000000010000000001111111111000000000000000000000000000001 +0 882 0001000000000010000000001111111111000000000000000000000000000001 +0 881 0001000000000010000000001111111111000000000000000000000000000001 +0 880 0001000000000010000000001111111111000000000000000000000000000001 +0 879 0001000000000010000000001111111111000000000000000000000000000001 +0 878 0001000000000010000000001111111111000000000000000000000000000001 +0 877 0001000000000010000000001111111111000000000000000000000000000001 +0 876 0001000000000010000000001111111111000000000000000000000000000001 +0 875 0001000000000010000000001111111111000000000000000000000000000001 +0 874 0001000000000010000000001111111111000000000000000000000000000001 +0 873 0001000000000010000000001111111111000000000000000000000000000001 +0 872 0001000000000010000000001111111111000000000000000000000000000001 +0 871 0001000000000010000000001111111111000000000000000000000000000001 +0 870 0001000000000010000000001111111111000000000000000000000000000001 +0 869 0001000000000010000000001111111111000000000000000000000000000001 +0 868 0001000000000010000000001111111111000000000000000000000000000001 +0 867 0001000000000010000000001111111111000000000000000000000000000001 +0 866 0001000000000010000000001111111111000000000000000000000000000001 +0 865 0001000000000010000000001111111111000000000000000000000000000001 +0 864 0001000000000010000000001111111111000000000000000000000000000001 +0 863 0001000000000010000000001111111111000000000000000000000000000001 +0 862 0001000000000010000000001111111111000000000000000000000000000001 +0 861 0001000000000010000000001111111111000000000000000000000000000001 +0 860 0001000000000010000000001111111111000000000000000000000000000001 +0 859 0001000000000010000000001111111111000000000000000000000000000001 +0 858 0001000000000010000000001111111111000000000000000000000000000001 +0 857 0001000000000010000000001111111111000000000000000000000000000001 +0 856 0001000000000010000000001111111111000000000000000000000000000001 +0 855 0001000000000010000000001111111111000000000000000000000000000001 +0 854 0001000000000010000000001111111111000000000000000000000000000001 +0 853 0001000000000010000000001111111111000000000000000000000000000001 +0 852 0001000000000010000000001111111111000000000000000000000000000001 +0 851 0001000000000010000000001111111111000000000000000000000000000001 +0 850 0001000000000010000000001111111111000000000000000000000000000001 +0 849 0001000000000010000000001111111111000000000000000000000000000001 +0 848 0001000000000010000000001111111111000000000000000000000000000001 +0 847 0001000000000010000000001111111111000000000000000000000000000001 +0 846 0001000000000010000000001111111111000000000000000000000000000001 +0 845 0001000000000010000000001111111111000000000000000000000000000001 +0 844 0001000000000010000000001111111111000000000000000000000000000001 +0 843 0001000000000010000000001111111111000000000000000000000000000001 +0 842 0001000000000010000000001111111111000000000000000000000000000001 +0 841 0001000000000010000000001111111111000000000000000000000000000001 +0 840 0001000000000010000000001111111111000000000000000000000000000001 +0 839 0001000000000010000000001111111111000000000000000000000000000001 +0 838 0001000000000010000000001111111111000000000000000000000000000001 +0 837 0001000000000010000000001111111111000000000000000000000000000001 +0 836 0001000000000010000000001111111111000000000000000000000000000001 +0 835 0001000000000010000000001111111111000000000000000000000000000001 +0 834 0001000000000010000000001111111111000000000000000000000000000001 +0 833 0001000000000010000000001111111111000000000000000000000000000001 +0 832 0001000000000010000000001111111111000000000000000000000000000001 +0 831 0001000000000010000000001111111111000000000000000000000000000001 +0 830 0001000000000010000000001111111111000000000000000000000000000001 +0 829 0001000000000010000000001111111111000000000000000000000000000001 +0 828 0001000000000010000000001111111111000000000000000000000000000001 +0 827 0001000000000010000000001111111111000000000000000000000000000001 +0 826 0001000000000010000000001111111111000000000000000000000000000001 +0 825 0001000000000010000000001111111111000000000000000000000000000001 +0 824 0001000000000010000000001111111111000000000000000000000000000001 +0 823 0001000000000010000000001111111111000000000000000000000000000001 +0 822 0001000000000010000000001111111111000000000000000000000000000001 +0 821 0001000000000010000000001111111111000000000000000000000000000001 +0 820 0001000000000010000000001111111111000000000000000000000000000001 +0 819 0001000000000010000000001111111111000000000000000000000000000001 +0 818 0001000000000010000000001111111111000000000000000000000000000001 +0 817 0001000000000010000000001111111111000000000000000000000000000001 +0 816 0001000000000010000000001111111111000000000000000000000000000001 +0 815 0001000000000010000000001111111111000000000000000000000000000001 +0 814 0001000000000010000000001111111111000000000000000000000000000001 +0 813 0001000000000010000000001111111111000000000000000000000000000001 +0 812 0001000000000010000000001111111111000000000000000000000000000001 +0 811 0001000000000010000000001111111111000000000000000000000000000001 +0 810 0001000000000010000000001111111111000000000000000000000000000001 +0 809 0001000000000010000000001111111111000000000000000000000000000001 +0 808 0001000000000010000000001111111111000000000000000000000000000001 +0 807 0001000000000010000000001111111111000000000000000000000000000001 +0 806 0001000000000010000000001111111111000000000000000000000000000001 +0 805 0001000000000010000000001111111111000000000000000000000000000001 +0 804 0001000000000010000000001111111111000000000000000000000000000001 +0 803 0001000000000010000000001111111111000000000000000000000000000001 +0 802 0001000000000010000000001111111111000000000000000000000000000001 +0 801 0001000000000010000000001111111111000000000000000000000000000001 +0 800 0001000000000010000000001111111111000000000000000000000000000001 +0 799 0001000000000010000000001111111111000000000000000000000000000001 +0 798 0001000000000010000000001111111111000000000000000000000000000001 +0 797 0001000000000010000000001111111111000000000000000000000000000001 +0 796 0001000000000010000000001111111111000000000000000000000000000001 +0 795 0001000000000010000000001111111111000000000000000000000000000001 +0 794 0001000000000010000000001111111111000000000000000000000000000001 +0 793 0001000000000010000000001111111111000000000000000000000000000001 +0 792 0001000000000010000000001111111111000000000000000000000000000001 +0 791 0001000000000010000000001111111111000000000000000000000000000001 +0 790 0001000000000010000000001111111111000000000000000000000000000001 +0 789 0001000000000010000000001111111111000000000000000000000000000001 +0 788 0001000000000010000000001111111111000000000000000000000000000001 +0 787 0001000000000010000000001111111111000000000000000000000000000001 +0 786 0001000000000010000000001111111111000000000000000000000000000001 +0 785 0001000000000010000000001111111111000000000000000000000000000001 +0 784 0001000000000010000000001111111111000000000000000000000000000001 +0 783 0001000000000010000000001111111111000000000000000000000000000001 +0 782 0001000000000010000000001111111111000000000000000000000000000001 +0 781 0001000000000010000000001111111111000000000000000000000000000001 +0 780 0001000000000010000000001111111111000000000000000000000000000001 +0 779 0001000000000010000000001111111111000000000000000000000000000001 +0 778 0001000000000010000000001111111111000000000000000000000000000001 +0 777 0001000000000010000000001111111111000000000000000000000000000001 +0 776 0001000000000010000000001111111111000000000000000000000000000001 +0 775 0001000000000010000000001111111111000000000000000000000000000001 +0 774 0001000000000010000000001111111111000000000000000000000000000001 +0 773 0001000000000010000000001111111111000000000000000000000000000001 +0 772 0001000000000010000000001111111111000000000000000000000000000001 +0 771 0001000000000010000000001111111111000000000000000000000000000001 +0 770 0001000000000010000000001111111111000000000000000000000000000001 +0 769 0001000000000010000000001111111111000000000000000000000000000001 +0 768 0001000000000010000000001111111111000000000000000000000000000001 +0 767 0001000000000010000000001111111111000000000000000000000000000001 +0 766 0001000000000010000000001111111111000000000000000000000000000001 +0 765 0001000000000010000000001111111111000000000000000000000000000001 +0 764 0001000000000010000000001111111111000000000000000000000000000001 +0 763 0001000000000010000000001111111111000000000000000000000000000001 +0 762 0001000000000010000000001111111111000000000000000000000000000001 +0 761 0001000000000010000000001111111111000000000000000000000000000001 +0 760 0001000000000010000000001111111111000000000000000000000000000001 +0 759 0001000000000010000000001111111111000000000000000000000000000001 +0 758 0001000000000010000000001111111111000000000000000000000000000001 +0 757 0001000000000010000000001111111111000000000000000000000000000001 +0 756 0001000000000010000000001111111111000000000000000000000000000001 +0 755 0001000000000010000000001111111111000000000000000000000000000001 +0 754 0001000000000010000000001111111111000000000000000000000000000001 +0 753 0001000000000010000000001111111111000000000000000000000000000001 +0 752 0001000000000010000000001111111111000000000000000000000000000001 +0 751 0001000000000010000000001111111111000000000000000000000000000001 +0 750 0001000000000010000000001111111111000000000000000000000000000001 +0 749 0001000000000010000000001111111111000000000000000000000000000001 +0 748 0001000000000010000000001111111111000000000000000000000000000001 +0 747 0001000000000010000000001111111111000000000000000000000000000001 +0 746 0001000000000010000000001111111111000000000000000000000000000001 +0 745 0001000000000010000000001111111111000000000000000000000000000001 +0 744 0001000000000010000000001111111111000000000000000000000000000001 +0 743 0001000000000010000000001111111111000000000000000000000000000001 +0 742 0001000000000010000000001111111111000000000000000000000000000001 +0 741 0001000000000010000000001111111111000000000000000000000000000001 +0 740 0001000000000010000000001111111111000000000000000000000000000001 +0 739 0001000000000010000000001111111111000000000000000000000000000001 +0 738 0001000000000010000000001111111111000000000000000000000000000001 +0 737 0001000000000010000000001111111111000000000000000000000000000001 +0 736 0001000000000010000000001111111111000000000000000000000000000001 +0 735 0001000000000010000000001111111111000000000000000000000000000001 +0 734 0001000000000010000000001111111111000000000000000000000000000001 +0 733 0001000000000010000000001111111111000000000000000000000000000001 +0 732 0001000000000010000000001111111111000000000000000000000000000001 +0 731 0001000000000010000000001111111111000000000000000000000000000001 +0 730 0001000000000010000000001111111111000000000000000000000000000001 +0 729 0001000000000010000000001111111111000000000000000000000000000001 +0 728 0001000000000010000000001111111111000000000000000000000000000001 +0 727 0001000000000010000000001111111111000000000000000000000000000001 +0 726 0001000000000010000000001111111111000000000000000000000000000001 +0 725 0001000000000010000000001111111111000000000000000000000000000001 +0 724 0001000000000010000000001111111111000000000000000000000000000001 +0 723 0001000000000010000000001111111111000000000000000000000000000001 +0 722 0001000000000010000000001111111111000000000000000000000000000001 +0 721 0001000000000010000000001111111111000000000000000000000000000001 +0 720 0001000000000010000000001111111111000000000000000000000000000001 +0 719 0001000000000010000000001111111111000000000000000000000000000001 +0 718 0001000000000010000000001111111111000000000000000000000000000001 +0 717 0001000000000010000000001111111111000000000000000000000000000001 +0 716 0001000000000010000000001111111111000000000000000000000000000001 +0 715 0001000000000010000000001111111111000000000000000000000000000001 +0 714 0001000000000010000000001111111111000000000000000000000000000001 +0 713 0001000000000010000000001111111111000000000000000000000000000001 +0 712 0001000000000010000000001111111111000000000000000000000000000001 +0 711 0001000000000010000000001111111111000000000000000000000000000001 +0 710 0001000000000010000000001111111111000000000000000000000000000001 +0 709 0001000000000010000000001111111111000000000000000000000000000001 +0 708 0001000000000010000000001111111111000000000000000000000000000001 +0 707 0001000000000010000000001111111111000000000000000000000000000001 +0 706 0001000000000010000000001111111111000000000000000000000000000001 +0 705 0001000000000010000000001111111111000000000000000000000000000001 +0 704 0001000000000010000000001111111111000000000000000000000000000001 +0 703 0001000000000010000000001111111111000000000000000000000000000001 +0 702 0001000000000010000000001111111111000000000000000000000000000001 +0 701 0001000000000010000000001111111111000000000000000000000000000001 +0 700 0001000000000010000000001111111111000000000000000000000000000001 +0 699 0001000000000010000000001111111111000000000000000000000000000001 +0 698 0001000000000010000000001111111111000000000000000000000000000001 +0 697 0001000000000010000000001111111111000000000000000000000000000001 +0 696 0001000000000010000000001111111111000000000000000000000000000001 +0 695 0001000000000010000000001111111111000000000000000000000000000001 +0 694 0001000000000010000000001111111111000000000000000000000000000001 +0 693 0001000000000010000000001111111111000000000000000000000000000001 +0 692 0001000000000010000000001111111111000000000000000000000000000001 +0 691 0001000000000010000000001111111111000000000000000000000000000001 +0 690 0001000000000010000000001111111111000000000000000000000000000001 +0 689 0001000000000010000000001111111111000000000000000000000000000001 +0 688 0001000000000010000000001111111111000000000000000000000000000001 +0 687 0001000000000010000000001111111111000000000000000000000000000001 +0 686 0001000000000010000000001111111111000000000000000000000000000001 +0 685 0001000000000010000000001111111111000000000000000000000000000001 +0 684 0001000000000010000000001111111111000000000000000000000000000001 +0 683 0001000000000010000000001111111111000000000000000000000000000001 +0 682 0001000000000010000000001111111111000000000000000000000000000001 +0 681 0001000000000010000000001111111111000000000000000000000000000001 +0 680 0001000000000010000000001111111111000000000000000000000000000001 +0 679 0001000000000010000000001111111111000000000000000000000000000001 +0 678 0001000000000010000000001111111111000000000000000000000000000001 +0 677 0001000000000010000000001111111111000000000000000000000000000001 +0 676 0001000000000010000000001111111111000000000000000000000000000001 +0 675 0001000000000010000000001111111111000000000000000000000000000001 +0 674 0001000000000010000000001111111111000000000000000000000000000001 +0 673 0001000000000010000000001111111111000000000000000000000000000001 +0 672 0001000000000010000000001111111111000000000000000000000000000001 +0 671 0001000000000010000000001111111111000000000000000000000000000001 +0 670 0001000000000010000000001111111111000000000000000000000000000001 +0 669 0001000000000010000000001111111111000000000000000000000000000001 +0 668 0001000000000010000000001111111111000000000000000000000000000001 +0 667 0001000000000010000000001111111111000000000000000000000000000001 +0 666 0001000000000010000000001111111111000000000000000000000000000001 +0 665 0001000000000010000000001111111111000000000000000000000000000001 +0 664 0001000000000010000000001111111111000000000000000000000000000001 +0 663 0001000000000010000000001111111111000000000000000000000000000001 +0 662 0001000000000010000000001111111111000000000000000000000000000001 +0 661 0001000000000010000000001111111111000000000000000000000000000001 +0 660 0001000000000010000000001111111111000000000000000000000000000001 +0 659 0001000000000010000000001111111111000000000000000000000000000001 +0 658 0001000000000010000000001111111111000000000000000000000000000001 +0 657 0001000000000010000000001111111111000000000000000000000000000001 +0 656 0001000000000010000000001111111111000000000000000000000000000001 +0 655 0001000000000010000000001111111111000000000000000000000000000001 +0 654 0001000000000010000000001111111111000000000000000000000000000001 +0 653 0001000000000010000000001111111111000000000000000000000000000001 +0 652 0001000000000010000000001111111111000000000000000000000000000001 +0 651 0001000000000010000000001111111111000000000000000000000000000001 +0 650 0001000000000010000000001111111111000000000000000000000000000001 +0 649 0001000000000010000000001111111111000000000000000000000000000001 +0 648 0001000000000010000000001111111111000000000000000000000000000001 +0 647 0001000000000010000000001111111111000000000000000000000000000001 +0 646 0001000000000010000000001111111111000000000000000000000000000001 +0 645 0001000000000010000000001111111111000000000000000000000000000001 +0 644 0001000000000010000000001111111111000000000000000000000000000001 +0 643 0001000000000010000000001111111111000000000000000000000000000001 +0 642 0001000000000010000000001111111111000000000000000000000000000001 +0 641 0001000000000010000000001111111111000000000000000000000000000001 +0 640 0001000000000010000000001111111111000000000000000000000000000001 +0 639 0001000000000010000000001111111111000000000000000000000000000001 +0 638 0001000000000010000000001111111111000000000000000000000000000001 +0 637 0001000000000010000000001111111111000000000000000000000000000001 +0 636 0001000000000010000000001111111111000000000000000000000000000001 +0 635 0001000000000010000000001111111111000000000000000000000000000001 +0 634 0001000000000010000000001111111111000000000000000000000000000001 +0 633 0001000000000010000000001111111111000000000000000000000000000001 +0 632 0001000000000010000000001111111111000000000000000000000000000001 +0 631 0001000000000010000000001111111111000000000000000000000000000001 +0 630 0001000000000010000000001111111111000000000000000000000000000001 +0 629 0001000000000010000000001111111111000000000000000000000000000001 +0 628 0001000000000010000000001111111111000000000000000000000000000001 +0 627 0001000000000010000000001111111111000000000000000000000000000001 +0 626 0001000000000010000000001111111111000000000000000000000000000001 +0 625 0001000000000010000000001111111111000000000000000000000000000001 +0 624 0001000000000010000000001111111111000000000000000000000000000001 +0 623 0001000000000010000000001111111111000000000000000000000000000001 +0 622 0001000000000010000000001111111111000000000000000000000000000001 +0 621 0001000000000010000000001111111111000000000000000000000000000001 +0 620 0001000000000010000000001111111111000000000000000000000000000001 +0 619 0001000000000010000000001111111111000000000000000000000000000001 +0 618 0001000000000010000000001111111111000000000000000000000000000001 +0 617 0001000000000010000000001111111111000000000000000000000000000001 +0 616 0001000000000010000000001111111111000000000000000000000000000001 +0 615 0001000000000010000000001111111111000000000000000000000000000001 +0 614 0001000000000010000000001111111111000000000000000000000000000001 +0 613 0001000000000010000000001111111111000000000000000000000000000001 +0 612 0001000000000010000000001111111111000000000000000000000000000001 +0 611 0001000000000010000000001111111111000000000000000000000000000001 +0 610 0001000000000010000000001111111111000000000000000000000000000001 +0 609 0001000000000010000000001111111111000000000000000000000000000001 +0 608 0001000000000010000000001111111111000000000000000000000000000001 +0 607 0001000000000010000000001111111111000000000000000000000000000001 +0 606 0001000000000010000000001111111111000000000000000000000000000001 +0 605 0001000000000010000000001111111111000000000000000000000000000001 +0 604 0001000000000010000000001111111111000000000000000000000000000001 +0 603 0001000000000010000000001111111111000000000000000000000000000001 +0 602 0001000000000010000000001111111111000000000000000000000000000001 +0 601 0001000000000010000000001111111111000000000000000000000000000001 +0 600 0001000000000010000000001111111111000000000000000000000000000001 +0 599 0001000000000010000000001111111111000000000000000000000000000001 +0 598 0001000000000010000000001111111111000000000000000000000000000001 +0 597 0001000000000010000000001111111111000000000000000000000000000001 +0 596 0001000000000010000000001111111111000000000000000000000000000001 +0 595 0001000000000010000000001111111111000000000000000000000000000001 +0 594 0001000000000010000000001111111111000000000000000000000000000001 +0 593 0001000000000010000000001111111111000000000000000000000000000001 +0 592 0001000000000010000000001111111111000000000000000000000000000001 +0 591 0001000000000010000000001111111111000000000000000000000000000001 +0 590 0001000000000010000000001111111111000000000000000000000000000001 +0 589 0001000000000010000000001111111111000000000000000000000000000001 +0 588 0001000000000010000000001111111111000000000000000000000000000001 +0 587 0001000000000010000000001111111111000000000000000000000000000001 +0 586 0001000000000010000000001111111111000000000000000000000000000001 +0 585 0001000000000010000000001111111111000000000000000000000000000001 +0 584 0001000000000010000000001111111111000000000000000000000000000001 +0 583 0001000000000010000000001111111111000000000000000000000000000001 +0 582 0001000000000010000000001111111111000000000000000000000000000001 +0 581 0001000000000010000000001111111111000000000000000000000000000001 +0 580 0001000000000010000000001111111111000000000000000000000000000001 +0 579 0001000000000010000000001111111111000000000000000000000000000001 +0 578 0001000000000010000000001111111111000000000000000000000000000001 +0 577 0001000000000010000000001111111111000000000000000000000000000001 +0 576 0001000000000010000000001111111111000000000000000000000000000001 +0 575 0001000000000010000000001111111111000000000000000000000000000001 +0 574 0001000000000010000000001111111111000000000000000000000000000001 +0 573 0001000000000010000000001111111111000000000000000000000000000001 +0 572 0001000000000010000000001111111111000000000000000000000000000001 +0 571 0001000000000010000000001111111111000000000000000000000000000001 +0 570 0001000000000010000000001111111111000000000000000000000000000001 +0 569 0001000000000010000000001111111111000000000000000000000000000001 +0 568 0001000000000010000000001111111111000000000000000000000000000001 +0 567 0001000000000010000000001111111111000000000000000000000000000001 +0 566 0001000000000010000000001111111111000000000000000000000000000001 +0 565 0001000000000010000000001111111111000000000000000000000000000001 +0 564 0001000000000010000000001111111111000000000000000000000000000001 +0 563 0001000000000010000000001111111111000000000000000000000000000001 +0 562 0001000000000010000000001111111111000000000000000000000000000001 +0 561 0001000000000010000000001111111111000000000000000000000000000001 +0 560 0001000000000010000000001111111111000000000000000000000000000001 +0 559 0001000000000010000000001111111111000000000000000000000000000001 +0 558 0001000000000010000000001111111111000000000000000000000000000001 +0 557 0001000000000010000000001111111111000000000000000000000000000001 +0 556 0001000000000010000000001111111111000000000000000000000000000001 +0 555 0001000000000010000000001111111111000000000000000000000000000001 +0 554 0001000000000010000000001111111111000000000000000000000000000001 +0 553 0001000000000010000000001111111111000000000000000000000000000001 +0 552 0001000000000010000000001111111111000000000000000000000000000001 +0 551 0001000000000010000000001111111111000000000000000000000000000001 +0 550 0001000000000010000000001111111111000000000000000000000000000001 +0 549 0001000000000010000000001111111111000000000000000000000000000001 +0 548 0001000000000010000000001111111111000000000000000000000000000001 +0 547 0001000000000010000000001111111111000000000000000000000000000001 +0 546 0001000000000010000000001111111111000000000000000000000000000001 +0 545 0001000000000010000000001111111111000000000000000000000000000001 +0 544 0001000000000010000000001111111111000000000000000000000000000001 +0 543 0001000000000010000000001111111111000000000000000000000000000001 +0 542 0001000000000010000000001111111111000000000000000000000000000001 +0 541 0001000000000010000000001111111111000000000000000000000000000001 +0 540 0001000000000010000000001111111111000000000000000000000000000001 +0 539 0001000000000010000000001111111111000000000000000000000000000001 +0 538 0001000000000010000000001111111111000000000000000000000000000001 +0 537 0001000000000010000000001111111111000000000000000000000000000001 +0 536 0001000000000010000000001111111111000000000000000000000000000001 +0 535 0001000000000010000000001111111111000000000000000000000000000001 +0 534 0001000000000010000000001111111111000000000000000000000000000001 +0 533 0001000000000010000000001111111111000000000000000000000000000001 +0 532 0001000000000010000000001111111111000000000000000000000000000001 +0 531 0001000000000010000000001111111111000000000000000000000000000001 +0 530 0001000000000010000000001111111111000000000000000000000000000001 +0 529 0001000000000010000000001111111111000000000000000000000000000001 +0 528 0001000000000010000000001111111111000000000000000000000000000001 +0 527 0001000000000010000000001111111111000000000000000000000000000001 +0 526 0001000000000010000000001111111111000000000000000000000000000001 +0 525 0001000000000010000000001111111111000000000000000000000000000001 +0 524 0001000000000010000000001111111111000000000000000000000000000001 +0 523 0001000000000010000000001111111111000000000000000000000000000001 +0 522 0001000000000010000000001111111111000000000000000000000000000001 +0 521 0001000000000010000000001111111111000000000000000000000000000001 +0 520 0001000000000010000000001111111111000000000000000000000000000001 +0 519 0001000000000010000000001111111111000000000000000000000000000001 +0 518 0001000000000010000000001111111111000000000000000000000000000001 +0 517 0001000000000010000000001111111111000000000000000000000000000001 +0 516 0001000000000010000000001111111111000000000000000000000000000001 +0 515 0001000000000010000000001111111111000000000000000000000000000001 +0 514 0001000000000010000000001111111111000000000000000000000000000001 +0 513 0001000000000010000000001111111111000000000000000000000000000001 +0 512 0001000000000010000000001111111111000000000000000000000000000001 +0 511 0001000000000010000000001111111111000000000000000000000000000001 +0 510 0001000000000010000000001111111111000000000000000000000000000001 +0 509 0001000000000010000000001111111111000000000000000000000000000001 +0 508 0001000000000010000000001111111111000000000000000000000000000001 +0 507 0001000000000010000000001111111111000000000000000000000000000001 +0 506 0001000000000010000000001111111111000000000000000000000000000001 +0 505 0001000000000010000000001111111111000000000000000000000000000001 +0 504 0001000000000010000000001111111111000000000000000000000000000001 +0 503 0001000000000010000000001111111111000000000000000000000000000001 +0 502 0001000000000010000000001111111111000000000000000000000000000001 +0 501 0001000000000010000000001111111111000000000000000000000000000001 +0 500 0001000000000010000000001111111111000000000000000000000000000001 +0 499 0001000000000010000000001111111111000000000000000000000000000001 +0 498 0001000000000010000000001111111111000000000000000000000000000001 +0 497 0001000000000010000000001111111111000000000000000000000000000001 +0 496 0001000000000010000000001111111111000000000000000000000000000001 +0 495 0001000000000010000000001111111111000000000000000000000000000001 +0 494 0001000000000010000000001111111111000000000000000000000000000001 +0 493 0001000000000010000000001111111111000000000000000000000000000001 +0 492 0001000000000010000000001111111111000000000000000000000000000001 +0 491 0001000000000010000000001111111111000000000000000000000000000001 +0 490 0001000000000010000000001111111111000000000000000000000000000001 +0 489 0001000000000010000000001111111111000000000000000000000000000001 +0 488 0001000000000010000000001111111111000000000000000000000000000001 +0 487 0001000000000010000000001111111111000000000000000000000000000001 +0 486 0001000000000010000000001111111111000000000000000000000000000001 +0 485 0001000000000010000000001111111111000000000000000000000000000001 +0 484 0001000000000010000000001111111111000000000000000000000000000001 +0 483 0001000000000010000000001111111111000000000000000000000000000001 +0 482 0001000000000010000000001111111111000000000000000000000000000001 +0 481 0001000000000010000000001111111111000000000000000000000000000001 +0 480 0001000000000010000000001111111111000000000000000000000000000001 +0 479 0001000000000010000000001111111111000000000000000000000000000001 +0 478 0001000000000010000000001111111111000000000000000000000000000001 +0 477 0001000000000010000000001111111111000000000000000000000000000001 +0 476 0001000000000010000000001111111111000000000000000000000000000001 +0 475 0001000000000010000000001111111111000000000000000000000000000001 +0 474 0001000000000010000000001111111111000000000000000000000000000001 +0 473 0001000000000010000000001111111111000000000000000000000000000001 +0 472 0001000000000010000000001111111111000000000000000000000000000001 +0 471 0001000000000010000000001111111111000000000000000000000000000001 +0 470 0001000000000010000000001111111111000000000000000000000000000001 +0 469 0001000000000010000000001111111111000000000000000000000000000001 +0 468 0001000000000010000000001111111111000000000000000000000000000001 +0 467 0001000000000010000000001111111111000000000000000000000000000001 +0 466 0001000000000010000000001111111111000000000000000000000000000001 +0 465 0001000000000010000000001111111111000000000000000000000000000001 +0 464 0001000000000010000000001111111111000000000000000000000000000001 +0 463 0001000000000010000000001111111111000000000000000000000000000001 +0 462 0001000000000010000000001111111111000000000000000000000000000001 +0 461 0001000000000010000000001111111111000000000000000000000000000001 +0 460 0001000000000010000000001111111111000000000000000000000000000001 +0 459 0001000000000010000000001111111111000000000000000000000000000001 +0 458 0001000000000010000000001111111111000000000000000000000000000001 +0 457 0001000000000010000000001111111111000000000000000000000000000001 +0 456 0001000000000010000000001111111111000000000000000000000000000001 +0 455 0001000000000010000000001111111111000000000000000000000000000001 +0 454 0001000000000010000000001111111111000000000000000000000000000001 +0 453 0001000000000010000000001111111111000000000000000000000000000001 +0 452 0001000000000010000000001111111111000000000000000000000000000001 +0 451 0001000000000010000000001111111111000000000000000000000000000001 +0 450 0001000000000010000000001111111111000000000000000000000000000001 +0 449 0001000000000010000000001111111111000000000000000000000000000001 +0 448 0001000000000010000000001111111111000000000000000000000000000001 +0 447 0001000000000010000000001111111111000000000000000000000000000001 +0 446 0001000000000010000000001111111111000000000000000000000000000001 +0 445 0001000000000010000000001111111111000000000000000000000000000001 +0 444 0001000000000010000000001111111111000000000000000000000000000001 +0 443 0001000000000010000000001111111111000000000000000000000000000001 +0 442 0001000000000010000000001111111111000000000000000000000000000001 +0 441 0001000000000010000000001111111111000000000000000000000000000001 +0 440 0001000000000010000000001111111111000000000000000000000000000001 +0 439 0001000000000010000000001111111111000000000000000000000000000001 +0 438 0001000000000010000000001111111111000000000000000000000000000001 +0 437 0001000000000010000000001111111111000000000000000000000000000001 +0 436 0001000000000010000000001111111111000000000000000000000000000001 +0 435 0001000000000010000000001111111111000000000000000000000000000001 +0 434 0001000000000010000000001111111111000000000000000000000000000001 +0 433 0001000000000010000000001111111111000000000000000000000000000001 +0 432 0001000000000010000000001111111111000000000000000000000000000001 +0 431 0001000000000010000000001111111111000000000000000000000000000001 +0 430 0001000000000010000000001111111111000000000000000000000000000001 +0 429 0001000000000010000000001111111111000000000000000000000000000001 +0 428 0001000000000010000000001111111111000000000000000000000000000001 +0 427 0001000000000010000000001111111111000000000000000000000000000001 +0 426 0001000000000010000000001111111111000000000000000000000000000001 +0 425 0001000000000010000000001111111111000000000000000000000000000001 +0 424 0001000000000010000000001111111111000000000000000000000000000001 +0 423 0001000000000010000000001111111111000000000000000000000000000001 +0 422 0001000000000010000000001111111111000000000000000000000000000001 +0 421 0001000000000010000000001111111111000000000000000000000000000001 +0 420 0001000000000010000000001111111111000000000000000000000000000001 +0 419 0001000000000010000000001111111111000000000000000000000000000001 +0 418 0001000000000010000000001111111111000000000000000000000000000001 +0 417 0001000000000010000000001111111111000000000000000000000000000001 +0 416 0001000000000010000000001111111111000000000000000000000000000001 +0 415 0001000000000010000000001111111111000000000000000000000000000001 +0 414 0001000000000010000000001111111111000000000000000000000000000001 +0 413 0001000000000010000000001111111111000000000000000000000000000001 +0 412 0001000000000010000000001111111111000000000000000000000000000001 +0 411 0001000000000010000000001111111111000000000000000000000000000001 +0 410 0001000000000010000000001111111111000000000000000000000000000001 +0 409 0001000000000010000000001111111111000000000000000000000000000001 +0 408 0001000000000010000000001111111111000000000000000000000000000001 +0 407 0001000000000010000000001111111111000000000000000000000000000001 +0 406 0001000000000010000000001111111111000000000000000000000000000001 +0 405 0001000000000010000000001111111111000000000000000000000000000001 +0 404 0001000000000010000000001111111111000000000000000000000000000001 +0 403 0001000000000010000000001111111111000000000000000000000000000001 +0 402 0001000000000010000000001111111111000000000000000000000000000001 +0 401 0001000000000010000000001111111111000000000000000000000000000001 +0 400 0001000000000010000000001111111111000000000000000000000000000001 +0 399 0001000000000010000000001111111111000000000000000000000000000001 +0 398 0001000000000010000000001111111111000000000000000000000000000001 +0 397 0001000000000010000000001111111111000000000000000000000000000001 +0 396 0001000000000010000000001111111111000000000000000000000000000001 +0 395 0001000000000010000000001111111111000000000000000000000000000001 +0 394 0001000000000010000000001111111111000000000000000000000000000001 +0 393 0001000000000010000000001111111111000000000000000000000000000001 +0 392 0001000000000010000000001111111111000000000000000000000000000001 +0 391 0001000000000010000000001111111111000000000000000000000000000001 +0 390 0001000000000010000000001111111111000000000000000000000000000001 +0 389 0001000000000010000000001111111111000000000000000000000000000001 +0 388 0001000000000010000000001111111111000000000000000000000000000001 +0 387 0001000000000010000000001111111111000000000000000000000000000001 +0 386 0001000000000010000000001111111111000000000000000000000000000001 +0 385 0001000000000010000000001111111111000000000000000000000000000001 +0 384 0001000000000010000000001111111111000000000000000000000000000001 +0 383 0001000000000010000000001111111111000000000000000000000000000001 +0 382 0001000000000010000000001111111111000000000000000000000000000001 +0 381 0001000000000010000000001111111111000000000000000000000000000001 +0 380 0001000000000010000000001111111111000000000000000000000000000001 +0 379 0001000000000010000000001111111111000000000000000000000000000001 +0 378 0001000000000010000000001111111111000000000000000000000000000001 +0 377 0001000000000010000000001111111111000000000000000000000000000001 +0 376 0001000000000010000000001111111111000000000000000000000000000001 +0 375 0001000000000010000000001111111111000000000000000000000000000001 +0 374 0001000000000010000000001111111111000000000000000000000000000001 +0 373 0001000000000010000000001111111111000000000000000000000000000001 +0 372 0001000000000010000000001111111111000000000000000000000000000001 +0 371 0001000000000010000000001111111111000000000000000000000000000001 +0 370 0001000000000010000000001111111111000000000000000000000000000001 +0 369 0001000000000010000000001111111111000000000000000000000000000001 +0 368 0001000000000010000000001111111111000000000000000000000000000001 +0 367 0001000000000010000000001111111111000000000000000000000000000001 +0 366 0001000000000010000000001111111111000000000000000000000000000001 +0 365 0001000000000010000000001111111111000000000000000000000000000001 +0 364 0001000000000010000000001111111111000000000000000000000000000001 +0 363 0001000000000010000000001111111111000000000000000000000000000001 +0 362 0001000000000010000000001111111111000000000000000000000000000001 +0 361 0001000000000010000000001111111111000000000000000000000000000001 +0 360 0001000000000010000000001111111111000000000000000000000000000001 +0 359 0001000000000010000000001111111111000000000000000000000000000001 +0 358 0001000000000010000000001111111111000000000000000000000000000001 +0 357 0001000000000010000000001111111111000000000000000000000000000001 +0 356 0001000000000010000000001111111111000000000000000000000000000001 +0 355 0001000000000010000000001111111111000000000000000000000000000001 +0 354 0001000000000010000000001111111111000000000000000000000000000001 +0 353 0001000000000010000000001111111111000000000000000000000000000001 +0 352 0001000000000010000000001111111111000000000000000000000000000001 +0 351 0001000000000010000000001111111111000000000000000000000000000001 +0 350 0001000000000010000000001111111111000000000000000000000000000001 +0 349 0001000000000010000000001111111111000000000000000000000000000001 +0 348 0001000000000010000000001111111111000000000000000000000000000001 +0 347 0001000000000010000000001111111111000000000000000000000000000001 +0 346 0001000000000010000000001111111111000000000000000000000000000001 +0 345 0001000000000010000000001111111111000000000000000000000000000001 +0 344 0001000000000010000000001111111111000000000000000000000000000001 +0 343 0001000000000010000000001111111111000000000000000000000000000001 +0 342 0001000000000010000000001111111111000000000000000000000000000001 +0 341 0001000000000010000000001111111111000000000000000000000000000001 +0 340 0001000000000010000000001111111111000000000000000000000000000001 +0 339 0001000000000010000000001111111111000000000000000000000000000001 +0 338 0001000000000010000000001111111111000000000000000000000000000001 +0 337 0001000000000010000000001111111111000000000000000000000000000001 +0 336 0001000000000010000000001111111111000000000000000000000000000001 +0 335 0001000000000010000000001111111111000000000000000000000000000001 +0 334 0001000000000010000000001111111111000000000000000000000000000001 +0 333 0001000000000010000000001111111111000000000000000000000000000001 +0 332 0001000000000010000000001111111111000000000000000000000000000001 +0 331 0001000000000010000000001111111111000000000000000000000000000001 +0 330 0001000000000010000000001111111111000000000000000000000000000001 +0 329 0001000000000010000000001111111111000000000000000000000000000001 +0 328 0001000000000010000000001111111111000000000000000000000000000001 +0 327 0001000000000010000000001111111111000000000000000000000000000001 +0 326 0001000000000010000000001111111111000000000000000000000000000001 +0 325 0001000000000010000000001111111111000000000000000000000000000001 +0 324 0001000000000010000000001111111111000000000000000000000000000001 +0 323 0001000000000010000000001111111111000000000000000000000000000001 +0 322 0001000000000010000000001111111111000000000000000000000000000001 +0 321 0001000000000010000000001111111111000000000000000000000000000001 +0 320 0001000000000010000000001111111111000000000000000000000000000001 +0 319 0001000000000010000000001111111111000000000000000000000000000001 +0 318 0001000000000010000000001111111111000000000000000000000000000001 +0 317 0001000000000010000000001111111111000000000000000000000000000001 +0 316 0001000000000010000000001111111111000000000000000000000000000001 +0 315 0001000000000010000000001111111111000000000000000000000000000001 +0 314 0001000000000010000000001111111111000000000000000000000000000001 +0 313 0001000000000010000000001111111111000000000000000000000000000001 +0 312 0001000000000010000000001111111111000000000000000000000000000001 +0 311 0001000000000010000000001111111111000000000000000000000000000001 +0 310 0001000000000010000000001111111111000000000000000000000000000001 +0 309 0001000000000010000000001111111111000000000000000000000000000001 +0 308 0001000000000010000000001111111111000000000000000000000000000001 +0 307 0001000000000010000000001111111111000000000000000000000000000001 +0 306 0001000000000010000000001111111111000000000000000000000000000001 +0 305 0001000000000010000000001111111111000000000000000000000000000001 +0 304 0001000000000010000000001111111111000000000000000000000000000001 +0 303 0001000000000010000000001111111111000000000000000000000000000001 +0 302 0001000000000010000000001111111111000000000000000000000000000001 +0 301 0001000000000010000000001111111111000000000000000000000000000001 +0 300 0001000000000010000000001111111111000000000000000000000000000001 +0 299 0001000000000010000000001111111111000000000000000000000000000001 +0 298 0001000000000010000000001111111111000000000000000000000000000001 +0 297 0001000000000010000000001111111111000000000000000000000000000001 +0 296 0001000000000010000000001111111111000000000000000000000000000001 +0 295 0001000000000010000000001111111111000000000000000000000000000001 +0 294 0001000000000010000000001111111111000000000000000000000000000001 +0 293 0001000000000010000000001111111111000000000000000000000000000001 +0 292 0001000000000010000000001111111111000000000000000000000000000001 +0 291 0001000000000010000000001111111111000000000000000000000000000001 +0 290 0001000000000010000000001111111111000000000000000000000000000001 +0 289 0001000000000010000000001111111111000000000000000000000000000001 +0 288 0001000000000010000000001111111111000000000000000000000000000001 +0 287 0001000000000010000000001111111111000000000000000000000000000001 +0 286 0001000000000010000000001111111111000000000000000000000000000001 +0 285 0001000000000010000000001111111111000000000000000000000000000001 +0 284 0001000000000010000000001111111111000000000000000000000000000001 +0 283 0001000000000010000000001111111111000000000000000000000000000001 +0 282 0001000000000010000000001111111111000000000000000000000000000001 +0 281 0001000000000010000000001111111111000000000000000000000000000001 +0 280 0001000000000010000000001111111111000000000000000000000000000001 +0 279 0001000000000010000000001111111111000000000000000000000000000001 +0 278 0001000000000010000000001111111111000000000000000000000000000001 +0 277 0001000000000010000000001111111111000000000000000000000000000001 +0 276 0001000000000010000000001111111111000000000000000000000000000001 +0 275 0001000000000010000000001111111111000000000000000000000000000001 +0 274 0001000000000010000000001111111111000000000000000000000000000001 +0 273 0001000000000010000000001111111111000000000000000000000000000001 +0 272 0001000000000010000000001111111111000000000000000000000000000001 +0 271 0001000000000010000000001111111111000000000000000000000000000001 +0 270 0001000000000010000000001111111111000000000000000000000000000001 +0 269 0001000000000010000000001111111111000000000000000000000000000001 +0 268 0001000000000010000000001111111111000000000000000000000000000001 +0 267 0001000000000010000000001111111111000000000000000000000000000001 +0 266 0001000000000010000000001111111111000000000000000000000000000001 +0 265 0001000000000010000000001111111111000000000000000000000000000001 +0 264 0001000000000010000000001111111111000000000000000000000000000001 +0 263 0001000000000010000000001111111111000000000000000000000000000001 +0 262 0001000000000010000000001111111111000000000000000000000000000001 +0 261 0001000000000010000000001111111111000000000000000000000000000001 +0 260 0001000000000010000000001111111111000000000000000000000000000001 +0 259 0001000000000010000000001111111111000000000000000000000000000001 +0 258 0001000000000010000000001111111111000000000000000000000000000001 +0 257 0001000000000010000000001111111111000000000000000000000000000001 +0 256 0001000000000010000000001111111111000000000000000000000000000001 +0 255 0001000000000010000000001111111111000000000000000000000000000001 +0 254 0001000000000010000000001111111111000000000000000000000000000001 +0 253 0001000000000010000000001111111111000000000000000000000000000001 +0 252 0001000000000010000000001111111111000000000000000000000000000001 +0 251 0001000000000010000000001111111111000000000000000000000000000001 +0 250 0001000000000010000000001111111111000000000000000000000000000001 +0 249 0001000000000010000000001111111111000000000000000000000000000001 +0 248 0001000000000010000000001111111111000000000000000000000000000001 +0 247 0001000000000010000000001111111111000000000000000000000000000001 +0 246 0001000000000010000000001111111111000000000000000000000000000001 +0 245 0001000000000010000000001111111111000000000000000000000000000001 +0 244 0001000000000010000000001111111111000000000000000000000000000001 +0 243 0001000000000010000000001111111111000000000000000000000000000001 +0 242 0001000000000010000000001111111111000000000000000000000000000001 +0 241 0001000000000010000000001111111111000000000000000000000000000001 +0 240 0001000000000010000000001111111111000000000000000000000000000001 +0 239 0001000000000010000000001111111111000000000000000000000000000001 +0 238 0001000000000010000000001111111111000000000000000000000000000001 +0 237 0001000000000010000000001111111111000000000000000000000000000001 +0 236 0001000000000010000000001111111111000000000000000000000000000001 +0 235 0001000000000010000000001111111111000000000000000000000000000001 +0 234 0001000000000010000000001111111111000000000000000000000000000001 +0 233 0001000000000010000000001111111111000000000000000000000000000001 +0 232 0001000000000010000000001111111111000000000000000000000000000001 +0 231 0001000000000010000000001111111111000000000000000000000000000001 +0 230 0001000000000010000000001111111111000000000000000000000000000001 +0 229 0001000000000010000000001111111111000000000000000000000000000001 +0 228 0001000000000010000000001111111111000000000000000000000000000001 +0 227 0001000000000010000000001111111111000000000000000000000000000001 +0 226 0001000000000010000000001111111111000000000000000000000000000001 +0 225 0001000000000010000000001111111111000000000000000000000000000001 +0 224 0001000000000010000000001111111111000000000000000000000000000001 +0 223 0001000000000010000000001111111111000000000000000000000000000001 +0 222 0001000000000010000000001111111111000000000000000000000000000001 +0 221 0001000000000010000000001111111111000000000000000000000000000001 +0 220 0001000000000010000000001111111111000000000000000000000000000001 +0 219 0001000000000010000000001111111111000000000000000000000000000001 +0 218 0001000000000010000000001111111111000000000000000000000000000001 +0 217 0001000000000010000000001111111111000000000000000000000000000001 +0 216 0001000000000010000000001111111111000000000000000000000000000001 +0 215 0001000000000010000000001111111111000000000000000000000000000001 +0 214 0001000000000010000000001111111111000000000000000000000000000001 +0 213 0001000000000010000000001111111111000000000000000000000000000001 +0 212 0001000000000010000000001111111111000000000000000000000000000001 +0 211 0001000000000010000000001111111111000000000000000000000000000001 +0 210 0001000000000010000000001111111111000000000000000000000000000001 +0 209 0001000000000010000000001111111111000000000000000000000000000001 +0 208 0001000000000010000000001111111111000000000000000000000000000001 +0 207 0001000000000010000000001111111111000000000000000000000000000001 +0 206 0001000000000010000000001111111111000000000000000000000000000001 +0 205 0001000000000010000000001111111111000000000000000000000000000001 +0 204 0001000000000010000000001111111111000000000000000000000000000001 +0 203 0001000000000010000000001111111111000000000000000000000000000001 +0 202 0001000000000010000000001111111111000000000000000000000000000001 +0 201 0001000000000010000000001111111111000000000000000000000000000001 +0 200 0001000000000010000000001111111111000000000000000000000000000001 +0 199 0001000000000010000000001111111111000000000000000000000000000001 +0 198 0001000000000010000000001111111111000000000000000000000000000001 +0 197 0001000000000010000000001111111111000000000000000000000000000001 +0 196 0001000000000010000000001111111111000000000000000000000000000001 +0 195 0001000000000010000000001111111111000000000000000000000000000001 +0 194 0001000000000010000000001111111111000000000000000000000000000001 +0 193 0001000000000010000000001111111111000000000000000000000000000001 +0 192 0001000000000010000000001111111111000000000000000000000000000001 +0 191 0001000000000010000000001111111111000000000000000000000000000001 +0 190 0001000000000010000000001111111111000000000000000000000000000001 +0 189 0001000000000010000000001111111111000000000000000000000000000001 +0 188 0001000000000010000000001111111111000000000000000000000000000001 +0 187 0001000000000010000000001111111111000000000000000000000000000001 +0 186 0001000000000010000000001111111111000000000000000000000000000001 +0 185 0001000000000010000000001111111111000000000000000000000000000001 +0 184 0001000000000010000000001111111111000000000000000000000000000001 +0 183 0001000000000010000000001111111111000000000000000000000000000001 +0 182 0001000000000010000000001111111111000000000000000000000000000001 +0 181 0001000000000010000000001111111111000000000000000000000000000001 +0 180 0001000000000010000000001111111111000000000000000000000000000001 +0 179 0001000000000010000000001111111111000000000000000000000000000001 +0 178 0001000000000010000000001111111111000000000000000000000000000001 +0 177 0001000000000010000000001111111111000000000000000000000000000001 +0 176 0001000000000010000000001111111111000000000000000000000000000001 +0 175 0001000000000010000000001111111111000000000000000000000000000001 +0 174 0001000000000010000000001111111111000000000000000000000000000001 +0 173 0001000000000010000000001111111111000000000000000000000000000001 +0 172 0001000000000010000000001111111111000000000000000000000000000001 +0 171 0001000000000010000000001111111111000000000000000000000000000001 +0 170 0001000000000010000000001111111111000000000000000000000000000001 +0 169 0001000000000010000000001111111111000000000000000000000000000001 +0 168 0001000000000010000000001111111111000000000000000000000000000001 +0 167 0001000000000010000000001111111111000000000000000000000000000001 +0 166 0001000000000010000000001111111111000000000000000000000000000001 +0 165 0001000000000010000000001111111111000000000000000000000000000001 +0 164 0001000000000010000000001111111111000000000000000000000000000001 +0 163 0001000000000010000000001111111111000000000000000000000000000001 +0 162 0001000000000010000000001111111111000000000000000000000000000001 +0 161 0001000000000010000000001111111111000000000000000000000000000001 +0 160 0001000000000010000000001111111111000000000000000000000000000001 +0 159 0001000000000010000000001111111111000000000000000000000000000001 +0 158 0001000000000010000000001111111111000000000000000000000000000001 +0 157 0001000000000010000000001111111111000000000000000000000000000001 +0 156 0001000000000010000000001111111111000000000000000000000000000001 +0 155 0001000000000010000000001111111111000000000000000000000000000001 +0 154 0001000000000010000000001111111111000000000000000000000000000001 +0 153 0001000000000010000000001111111111000000000000000000000000000001 +0 152 0001000000000010000000001111111111000000000000000000000000000001 +0 151 0001000000000010000000001111111111000000000000000000000000000001 +0 150 0001000000000010000000001111111111000000000000000000000000000001 +0 149 0001000000000010000000001111111111000000000000000000000000000001 +0 148 0001000000000010000000001111111111000000000000000000000000000001 +0 147 0001000000000010000000001111111111000000000000000000000000000001 +0 146 0001000000000010000000001111111111000000000000000000000000000001 +0 145 0001000000000010000000001111111111000000000000000000000000000001 +0 144 0001000000000010000000001111111111000000000000000000000000000001 +0 143 0001000000000010000000001111111111000000000000000000000000000001 +0 142 0001000000000010000000001111111111000000000000000000000000000001 +0 141 0001000000000010000000001111111111000000000000000000000000000001 +0 140 0001000000000010000000001111111111000000000000000000000000000001 +0 139 0001000000000010000000001111111111000000000000000000000000000001 +0 138 0001000000000010000000001111111111000000000000000000000000000001 +0 137 0001000000000010000000001111111111000000000000000000000000000001 +0 136 0001000000000010000000001111111111000000000000000000000000000001 +0 135 0001000000000010000000001111111111000000000000000000000000000001 +0 134 0001000000000010000000001111111111000000000000000000000000000001 +0 133 0001000000000010000000001111111111000000000000000000000000000001 +0 132 0001000000000010000000001111111111000000000000000000000000000001 +0 131 0001000000000010000000001111111111000000000000000000000000000001 +0 130 0001000000000010000000001111111111000000000000000000000000000001 +0 129 0001000000000010000000001111111111000000000000000000000000000001 +0 128 0001000000000010000000001111111111000000000000000000000000000001 +0 127 0001000000000010000000001111111111000000000000000000000000000001 +0 126 0001000000000010000000001111111111000000000000000000000000000001 +0 125 0001000000000010000000001111111111000000000000000000000000000001 +0 124 0001000000000010000000001111111111000000000000000000000000000001 +0 123 0001000000000010000000001111111111000000000000000000000000000001 +0 122 0001000000000010000000001111111111000000000000000000000000000001 +0 121 0001000000000010000000001111111111000000000000000000000000000001 +0 120 0001000000000010000000001111111111000000000000000000000000000001 +0 119 0001000000000010000000001111111111000000000000000000000000000001 +0 118 0001000000000010000000001111111111000000000000000000000000000001 +0 117 0001000000000010000000001111111111000000000000000000000000000001 +0 116 0001000000000010000000001111111111000000000000000000000000000001 +0 115 0001000000000010000000001111111111000000000000000000000000000001 +0 114 0001000000000010000000001111111111000000000000000000000000000001 +0 113 0001000000000010000000001111111111000000000000000000000000000001 +0 112 0001000000000010000000001111111111000000000000000000000000000001 +0 111 0001000000000010000000001111111111000000000000000000000000000001 +0 110 0001000000000010000000001111111111000000000000000000000000000001 +0 109 0001000000000010000000001111111111000000000000000000000000000001 +0 108 0001000000000010000000001111111111000000000000000000000000000001 +0 107 0001000000000010000000001111111111000000000000000000000000000001 +0 106 0001000000000010000000001111111111000000000000000000000000000001 +0 105 0001000000000010000000001111111111000000000000000000000000000001 +0 104 0001000000000010000000001111111111000000000000000000000000000001 +0 103 0001000000000010000000001111111111000000000000000000000000000001 +0 102 0001000000000010000000001111111111000000000000000000000000000001 +0 101 0001000000000010000000001111111111000000000000000000000000000001 +0 100 0001000000000010000000001111111111000000000000000000000000000001 +0 99 0001000000000010000000001111111111000000000000000000000000000001 +0 98 0001000000000010000000001111111111000000000000000000000000000001 +0 97 0001000000000010000000001111111111000000000000000000000000000001 +0 96 0001000000000010000000001111111111000000000000000000000000000001 +0 95 0001000000000010000000001111111111000000000000000000000000000001 +0 94 0001000000000010000000001111111111000000000000000000000000000001 +0 93 0001000000000010000000001111111111000000000000000000000000000001 +0 92 0001000000000010000000001111111111000000000000000000000000000001 +0 91 0001000000000010000000001111111111000000000000000000000000000001 +0 90 0001000000000010000000001111111111000000000000000000000000000001 +0 89 0001000000000010000000001111111111000000000000000000000000000001 +0 88 0001000000000010000000001111111111000000000000000000000000000001 +0 87 0001000000000010000000001111111111000000000000000000000000000001 +0 86 0001000000000010000000001111111111000000000000000000000000000001 +0 85 0001000000000010000000001111111111000000000000000000000000000001 +0 84 0001000000000010000000001111111111000000000000000000000000000001 +0 83 0001000000000010000000001111111111000000000000000000000000000001 +0 82 0001000000000010000000001111111111000000000000000000000000000001 +0 81 0001000000000010000000001111111111000000000000000000000000000001 +0 80 0001000000000010000000001111111111000000000000000000000000000001 +0 79 0001000000000010000000001111111111000000000000000000000000000001 +0 78 0001000000000010000000001111111111000000000000000000000000000001 +0 77 0001000000000010000000001111111111000000000000000000000000000001 +0 76 0001000000000010000000001111111111000000000000000000000000000001 +0 75 0001000000000010000000001111111111000000000000000000000000000001 +0 74 0001000000000010000000001111111111000000000000000000000000000001 +0 73 0001000000000010000000001111111111000000000000000000000000000001 +0 72 0001000000000010000000001111111111000000000000000000000000000001 +0 71 0001000000000010000000001111111111000000000000000000000000000001 +0 70 0001000000000010000000001111111111000000000000000000000000000001 +0 69 0001000000000010000000001111111111000000000000000000000000000001 +0 68 0001000000000010000000001111111111000000000000000000000000000001 +0 67 0001000000000010000000001111111111000000000000000000000000000001 +0 66 0001000000000010000000001111111111000000000000000000000000000001 +0 65 0001000000000010000000001111111111000000000000000000000000000001 +0 64 0001000000000010000000001111111111000000000000000000000000000001 +0 63 0001000000000010000000001111111111000000000000000000000000000001 +0 62 0001000000000010000000001111111111000000000000000000000000000001 +0 61 0001000000000010000000001111111111000000000000000000000000000001 +0 60 0001000000000010000000001111111111000000000000000000000000000001 +0 59 0001000000000010000000001111111111000000000000000000000000000001 +0 58 0001000000000010000000001111111111000000000000000000000000000001 +0 57 0001000000000010000000001111111111000000000000000000000000000001 +0 56 0001000000000010000000001111111111000000000000000000000000000001 +0 55 0001000000000010000000001111111111000000000000000000000000000001 +0 54 0001000000000010000000001111111111000000000000000000000000000001 +0 53 0001000000000010000000001111111111000000000000000000000000000001 +0 52 0001000000000010000000001111111111000000000000000000000000000001 +0 51 0001000000000010000000001111111111000000000000000000000000000001 +0 50 0001000000000010000000001111111111000000000000000000000000000001 +0 49 0001000000000010000000001111111111000000000000000000000000000001 +0 48 0001000000000010000000001111111111000000000000000000000000000001 +0 47 0001000000000010000000001111111111000000000000000000000000000001 +0 46 0001000000000010000000001111111111000000000000000000000000000001 +0 45 0001000000000010000000001111111111000000000000000000000000000001 +0 44 0001000000000010000000001111111111000000000000000000000000000001 +0 43 0001000000000010000000001111111111000000000000000000000000000001 +0 42 0001000000000010000000001111111111000000000000000000000000000001 +0 41 0001000000000010000000001111111111000000000000000000000000000001 +0 40 0001000000000010000000001111111111000000000000000000000000000001 +0 39 0001000000000010000000001111111111000000000000000000000000000001 +0 38 0001000000000010000000001111111111000000000000000000000000000001 +0 37 0001000000000010000000001111111111000000000000000000000000000001 +0 36 0001000000000010000000001111111111000000000000000000000000000001 +0 35 0001000000000010000000001111111111000000000000000000000000000001 +0 34 0001000000000010000000001111111111000000000000000000000000000001 +0 33 0001000000000010000000001111111111000000000000000000000000000001 +0 32 0001000000000010000000001111111111000000000000000000000000000001 +0 31 0001000000000010000000001111111111000000000000000000000000000001 +0 30 0001000000000010000000001111111111000000000000000000000000000001 +0 29 0001000000000010000000001111111111000000000000000000000000000001 +0 28 0001000000000010000000001111111111000000000000000000000000000001 +0 27 0001000000000010000000001111111111000000000000000000000000000001 +0 26 0001000000000010000000001111111111000000000000000000000000000001 +0 25 0001000000000010000000001111111111000000000000000000000000000001 +0 24 0001000000000010000000001111111111000000000000000000000000000001 +0 23 0001000000000010000000001111111111000000000000000000000000000001 +0 22 0001000000000010000000001111111111000000000000000000000000000001 +0 21 0001000000000010000000001111111111000000000000000000000000000001 +0 20 0001000000000010000000001111111111000000000000000000000000000001 +0 19 0001000000000010000000001111111111000000000000000000000000000001 +0 18 0001000000000010000000001111111111000000000000000000000000000001 +0 17 0001000000000010000000001111111111000000000000000000000000000001 +0 16 0001000000000010000000001111111111000000000000000000000000000001 +0 15 0001000000000010000000001111111111000000000000000000000000000001 +0 14 0001000000000010000000001111111111000000000000000000000000000001 +0 13 0001000000000010000000001111111111000000000000000000000000000001 +0 12 0001000000000010000000001111111111000000000000000000000000000001 +0 11 0001000000000010000000001111111111000000000000000000000000000001 +0 10 0001000000000010000000001111111111000000000000000000000000000001 +0 9 0001000000000010000000001111111111000000000000000000000000000001 +0 8 0001000000000010000000001111111111000000000000000000000000000001 +0 7 0001000000000010000000001111111111000000000000000000000000000001 +0 6 0001000000000010000000001111111111000000000000000000000000000001 +0 5 0001000000000010000000001111111111000000000000000000000000000001 +0 4 0001000000000010000000001111111111000000000000000000000000000001 +0 3 0001000000000010000000001111111111000000000000000000000000000001 +0 2 0001000000000010000000001111111111000000000000000000000000000001 +0 1 0001000000000010000000001111111111000000000000000000000000000001 +0 0 0001000000000010000000001111111111000000000000000000000000000001 +0 1023 0001000000000000001000001111111111000000000000000000000000000001 +0 1022 0001000000000000001000001111111111000000000000000000000000000001 +0 1021 0001000000000000001000001111111111000000000000000000000000000001 +0 1020 0001000000000000001000001111111111000000000000000000000000000001 +0 1019 0001000000000000001000001111111111000000000000000000000000000001 +0 1018 0001000000000000001000001111111111000000000000000000000000000001 +0 1017 0001000000000000001000001111111111000000000000000000000000000001 +0 1016 0001000000000000001000001111111111000000000000000000000000000001 +0 1015 0001000000000000001000001111111111000000000000000000000000000001 +0 1014 0001000000000000001000001111111111000000000000000000000000000001 +0 1013 0001000000000000001000001111111111000000000000000000000000000001 +0 1012 0001000000000000001000001111111111000000000000000000000000000001 +0 1011 0001000000000000001000001111111111000000000000000000000000000001 +0 1010 0001000000000000001000001111111111000000000000000000000000000001 +0 1009 0001000000000000001000001111111111000000000000000000000000000001 +0 1008 0001000000000000001000001111111111000000000000000000000000000001 +0 1007 0001000000000000001000001111111111000000000000000000000000000001 +0 1006 0001000000000000001000001111111111000000000000000000000000000001 +0 1005 0001000000000000001000001111111111000000000000000000000000000001 +0 1004 0001000000000000001000001111111111000000000000000000000000000001 +0 1003 0001000000000000001000001111111111000000000000000000000000000001 +0 1002 0001000000000000001000001111111111000000000000000000000000000001 +0 1001 0001000000000000001000001111111111000000000000000000000000000001 +0 1000 0001000000000000001000001111111111000000000000000000000000000001 +0 999 0001000000000000001000001111111111000000000000000000000000000001 +0 998 0001000000000000001000001111111111000000000000000000000000000001 +0 997 0001000000000000001000001111111111000000000000000000000000000001 +0 996 0001000000000000001000001111111111000000000000000000000000000001 +0 995 0001000000000000001000001111111111000000000000000000000000000001 +0 994 0001000000000000001000001111111111000000000000000000000000000001 +0 993 0001000000000000001000001111111111000000000000000000000000000001 +0 992 0001000000000000001000001111111111000000000000000000000000000001 +0 991 0001000000000000001000001111111111000000000000000000000000000001 +0 990 0001000000000000001000001111111111000000000000000000000000000001 +0 989 0001000000000000001000001111111111000000000000000000000000000001 +0 988 0001000000000000001000001111111111000000000000000000000000000001 +0 987 0001000000000000001000001111111111000000000000000000000000000001 +0 986 0001000000000000001000001111111111000000000000000000000000000001 +0 985 0001000000000000001000001111111111000000000000000000000000000001 +0 984 0001000000000000001000001111111111000000000000000000000000000001 +0 983 0001000000000000001000001111111111000000000000000000000000000001 +0 982 0001000000000000001000001111111111000000000000000000000000000001 +0 981 0001000000000000001000001111111111000000000000000000000000000001 +0 980 0001000000000000001000001111111111000000000000000000000000000001 +0 979 0001000000000000001000001111111111000000000000000000000000000001 +0 978 0001000000000000001000001111111111000000000000000000000000000001 +0 977 0001000000000000001000001111111111000000000000000000000000000001 +0 976 0001000000000000001000001111111111000000000000000000000000000001 +0 975 0001000000000000001000001111111111000000000000000000000000000001 +0 974 0001000000000000001000001111111111000000000000000000000000000001 +0 973 0001000000000000001000001111111111000000000000000000000000000001 +0 972 0001000000000000001000001111111111000000000000000000000000000001 +0 971 0001000000000000001000001111111111000000000000000000000000000001 +0 970 0001000000000000001000001111111111000000000000000000000000000001 +0 969 0001000000000000001000001111111111000000000000000000000000000001 +0 968 0001000000000000001000001111111111000000000000000000000000000001 +0 967 0001000000000000001000001111111111000000000000000000000000000001 +0 966 0001000000000000001000001111111111000000000000000000000000000001 +0 965 0001000000000000001000001111111111000000000000000000000000000001 +0 964 0001000000000000001000001111111111000000000000000000000000000001 +0 963 0001000000000000001000001111111111000000000000000000000000000001 +0 962 0001000000000000001000001111111111000000000000000000000000000001 +0 961 0001000000000000001000001111111111000000000000000000000000000001 +0 960 0001000000000000001000001111111111000000000000000000000000000001 +0 959 0001000000000000001000001111111111000000000000000000000000000001 +0 958 0001000000000000001000001111111111000000000000000000000000000001 +0 957 0001000000000000001000001111111111000000000000000000000000000001 +0 956 0001000000000000001000001111111111000000000000000000000000000001 +0 955 0001000000000000001000001111111111000000000000000000000000000001 +0 954 0001000000000000001000001111111111000000000000000000000000000001 +0 953 0001000000000000001000001111111111000000000000000000000000000001 +0 952 0001000000000000001000001111111111000000000000000000000000000001 +0 951 0001000000000000001000001111111111000000000000000000000000000001 +0 950 0001000000000000001000001111111111000000000000000000000000000001 +0 949 0001000000000000001000001111111111000000000000000000000000000001 +0 948 0001000000000000001000001111111111000000000000000000000000000001 +0 947 0001000000000000001000001111111111000000000000000000000000000001 +0 946 0001000000000000001000001111111111000000000000000000000000000001 +0 945 0001000000000000001000001111111111000000000000000000000000000001 +0 944 0001000000000000001000001111111111000000000000000000000000000001 +0 943 0001000000000000001000001111111111000000000000000000000000000001 +0 942 0001000000000000001000001111111111000000000000000000000000000001 +0 941 0001000000000000001000001111111111000000000000000000000000000001 +0 940 0001000000000000001000001111111111000000000000000000000000000001 +0 939 0001000000000000001000001111111111000000000000000000000000000001 +0 938 0001000000000000001000001111111111000000000000000000000000000001 +0 937 0001000000000000001000001111111111000000000000000000000000000001 +0 936 0001000000000000001000001111111111000000000000000000000000000001 +0 935 0001000000000000001000001111111111000000000000000000000000000001 +0 934 0001000000000000001000001111111111000000000000000000000000000001 +0 933 0001000000000000001000001111111111000000000000000000000000000001 +0 932 0001000000000000001000001111111111000000000000000000000000000001 +0 931 0001000000000000001000001111111111000000000000000000000000000001 +0 930 0001000000000000001000001111111111000000000000000000000000000001 +0 929 0001000000000000001000001111111111000000000000000000000000000001 +0 928 0001000000000000001000001111111111000000000000000000000000000001 +0 927 0001000000000000001000001111111111000000000000000000000000000001 +0 926 0001000000000000001000001111111111000000000000000000000000000001 +0 925 0001000000000000001000001111111111000000000000000000000000000001 +0 924 0001000000000000001000001111111111000000000000000000000000000001 +0 923 0001000000000000001000001111111111000000000000000000000000000001 +0 922 0001000000000000001000001111111111000000000000000000000000000001 +0 921 0001000000000000001000001111111111000000000000000000000000000001 +0 920 0001000000000000001000001111111111000000000000000000000000000001 +0 919 0001000000000000001000001111111111000000000000000000000000000001 +0 918 0001000000000000001000001111111111000000000000000000000000000001 +0 917 0001000000000000001000001111111111000000000000000000000000000001 +0 916 0001000000000000001000001111111111000000000000000000000000000001 +0 915 0001000000000000001000001111111111000000000000000000000000000001 +0 914 0001000000000000001000001111111111000000000000000000000000000001 +0 913 0001000000000000001000001111111111000000000000000000000000000001 +0 912 0001000000000000001000001111111111000000000000000000000000000001 +0 911 0001000000000000001000001111111111000000000000000000000000000001 +0 910 0001000000000000001000001111111111000000000000000000000000000001 +0 909 0001000000000000001000001111111111000000000000000000000000000001 +0 908 0001000000000000001000001111111111000000000000000000000000000001 +0 907 0001000000000000001000001111111111000000000000000000000000000001 +0 906 0001000000000000001000001111111111000000000000000000000000000001 +0 905 0001000000000000001000001111111111000000000000000000000000000001 +0 904 0001000000000000001000001111111111000000000000000000000000000001 +0 903 0001000000000000001000001111111111000000000000000000000000000001 +0 902 0001000000000000001000001111111111000000000000000000000000000001 +0 901 0001000000000000001000001111111111000000000000000000000000000001 +0 900 0001000000000000001000001111111111000000000000000000000000000001 +0 899 0001000000000000001000001111111111000000000000000000000000000001 +0 898 0001000000000000001000001111111111000000000000000000000000000001 +0 897 0001000000000000001000001111111111000000000000000000000000000001 +0 896 0001000000000000001000001111111111000000000000000000000000000001 +0 895 0001000000000000001000001111111111000000000000000000000000000001 +0 894 0001000000000000001000001111111111000000000000000000000000000001 +0 893 0001000000000000001000001111111111000000000000000000000000000001 +0 892 0001000000000000001000001111111111000000000000000000000000000001 +0 891 0001000000000000001000001111111111000000000000000000000000000001 +0 890 0001000000000000001000001111111111000000000000000000000000000001 +0 889 0001000000000000001000001111111111000000000000000000000000000001 +0 888 0001000000000000001000001111111111000000000000000000000000000001 +0 887 0001000000000000001000001111111111000000000000000000000000000001 +0 886 0001000000000000001000001111111111000000000000000000000000000001 +0 885 0001000000000000001000001111111111000000000000000000000000000001 +0 884 0001000000000000001000001111111111000000000000000000000000000001 +0 883 0001000000000000001000001111111111000000000000000000000000000001 +0 882 0001000000000000001000001111111111000000000000000000000000000001 +0 881 0001000000000000001000001111111111000000000000000000000000000001 +0 880 0001000000000000001000001111111111000000000000000000000000000001 +0 879 0001000000000000001000001111111111000000000000000000000000000001 +0 878 0001000000000000001000001111111111000000000000000000000000000001 +0 877 0001000000000000001000001111111111000000000000000000000000000001 +0 876 0001000000000000001000001111111111000000000000000000000000000001 +0 875 0001000000000000001000001111111111000000000000000000000000000001 +0 874 0001000000000000001000001111111111000000000000000000000000000001 +0 873 0001000000000000001000001111111111000000000000000000000000000001 +0 872 0001000000000000001000001111111111000000000000000000000000000001 +0 871 0001000000000000001000001111111111000000000000000000000000000001 +0 870 0001000000000000001000001111111111000000000000000000000000000001 +0 869 0001000000000000001000001111111111000000000000000000000000000001 +0 868 0001000000000000001000001111111111000000000000000000000000000001 +0 867 0001000000000000001000001111111111000000000000000000000000000001 +0 866 0001000000000000001000001111111111000000000000000000000000000001 +0 865 0001000000000000001000001111111111000000000000000000000000000001 +0 864 0001000000000000001000001111111111000000000000000000000000000001 +0 863 0001000000000000001000001111111111000000000000000000000000000001 +0 862 0001000000000000001000001111111111000000000000000000000000000001 +0 861 0001000000000000001000001111111111000000000000000000000000000001 +0 860 0001000000000000001000001111111111000000000000000000000000000001 +0 859 0001000000000000001000001111111111000000000000000000000000000001 +0 858 0001000000000000001000001111111111000000000000000000000000000001 +0 857 0001000000000000001000001111111111000000000000000000000000000001 +0 856 0001000000000000001000001111111111000000000000000000000000000001 +0 855 0001000000000000001000001111111111000000000000000000000000000001 +0 854 0001000000000000001000001111111111000000000000000000000000000001 +0 853 0001000000000000001000001111111111000000000000000000000000000001 +0 852 0001000000000000001000001111111111000000000000000000000000000001 +0 851 0001000000000000001000001111111111000000000000000000000000000001 +0 850 0001000000000000001000001111111111000000000000000000000000000001 +0 849 0001000000000000001000001111111111000000000000000000000000000001 +0 848 0001000000000000001000001111111111000000000000000000000000000001 +0 847 0001000000000000001000001111111111000000000000000000000000000001 +0 846 0001000000000000001000001111111111000000000000000000000000000001 +0 845 0001000000000000001000001111111111000000000000000000000000000001 +0 844 0001000000000000001000001111111111000000000000000000000000000001 +0 843 0001000000000000001000001111111111000000000000000000000000000001 +0 842 0001000000000000001000001111111111000000000000000000000000000001 +0 841 0001000000000000001000001111111111000000000000000000000000000001 +0 840 0001000000000000001000001111111111000000000000000000000000000001 +0 839 0001000000000000001000001111111111000000000000000000000000000001 +0 838 0001000000000000001000001111111111000000000000000000000000000001 +0 837 0001000000000000001000001111111111000000000000000000000000000001 +0 836 0001000000000000001000001111111111000000000000000000000000000001 +0 835 0001000000000000001000001111111111000000000000000000000000000001 +0 834 0001000000000000001000001111111111000000000000000000000000000001 +0 833 0001000000000000001000001111111111000000000000000000000000000001 +0 832 0001000000000000001000001111111111000000000000000000000000000001 +0 831 0001000000000000001000001111111111000000000000000000000000000001 +0 830 0001000000000000001000001111111111000000000000000000000000000001 +0 829 0001000000000000001000001111111111000000000000000000000000000001 +0 828 0001000000000000001000001111111111000000000000000000000000000001 +0 827 0001000000000000001000001111111111000000000000000000000000000001 +0 826 0001000000000000001000001111111111000000000000000000000000000001 +0 825 0001000000000000001000001111111111000000000000000000000000000001 +0 824 0001000000000000001000001111111111000000000000000000000000000001 +0 823 0001000000000000001000001111111111000000000000000000000000000001 +0 822 0001000000000000001000001111111111000000000000000000000000000001 +0 821 0001000000000000001000001111111111000000000000000000000000000001 +0 820 0001000000000000001000001111111111000000000000000000000000000001 +0 819 0001000000000000001000001111111111000000000000000000000000000001 +0 818 0001000000000000001000001111111111000000000000000000000000000001 +0 817 0001000000000000001000001111111111000000000000000000000000000001 +0 816 0001000000000000001000001111111111000000000000000000000000000001 +0 815 0001000000000000001000001111111111000000000000000000000000000001 +0 814 0001000000000000001000001111111111000000000000000000000000000001 +0 813 0001000000000000001000001111111111000000000000000000000000000001 +0 812 0001000000000000001000001111111111000000000000000000000000000001 +0 811 0001000000000000001000001111111111000000000000000000000000000001 +0 810 0001000000000000001000001111111111000000000000000000000000000001 +0 809 0001000000000000001000001111111111000000000000000000000000000001 +0 808 0001000000000000001000001111111111000000000000000000000000000001 +0 807 0001000000000000001000001111111111000000000000000000000000000001 +0 806 0001000000000000001000001111111111000000000000000000000000000001 +0 805 0001000000000000001000001111111111000000000000000000000000000001 +0 804 0001000000000000001000001111111111000000000000000000000000000001 +0 803 0001000000000000001000001111111111000000000000000000000000000001 +0 802 0001000000000000001000001111111111000000000000000000000000000001 +0 801 0001000000000000001000001111111111000000000000000000000000000001 +0 800 0001000000000000001000001111111111000000000000000000000000000001 +0 799 0001000000000000001000001111111111000000000000000000000000000001 +0 798 0001000000000000001000001111111111000000000000000000000000000001 +0 797 0001000000000000001000001111111111000000000000000000000000000001 +0 796 0001000000000000001000001111111111000000000000000000000000000001 +0 795 0001000000000000001000001111111111000000000000000000000000000001 +0 794 0001000000000000001000001111111111000000000000000000000000000001 +0 793 0001000000000000001000001111111111000000000000000000000000000001 +0 792 0001000000000000001000001111111111000000000000000000000000000001 +0 791 0001000000000000001000001111111111000000000000000000000000000001 +0 790 0001000000000000001000001111111111000000000000000000000000000001 +0 789 0001000000000000001000001111111111000000000000000000000000000001 +0 788 0001000000000000001000001111111111000000000000000000000000000001 +0 787 0001000000000000001000001111111111000000000000000000000000000001 +0 786 0001000000000000001000001111111111000000000000000000000000000001 +0 785 0001000000000000001000001111111111000000000000000000000000000001 +0 784 0001000000000000001000001111111111000000000000000000000000000001 +0 783 0001000000000000001000001111111111000000000000000000000000000001 +0 782 0001000000000000001000001111111111000000000000000000000000000001 +0 781 0001000000000000001000001111111111000000000000000000000000000001 +0 780 0001000000000000001000001111111111000000000000000000000000000001 +0 779 0001000000000000001000001111111111000000000000000000000000000001 +0 778 0001000000000000001000001111111111000000000000000000000000000001 +0 777 0001000000000000001000001111111111000000000000000000000000000001 +0 776 0001000000000000001000001111111111000000000000000000000000000001 +0 775 0001000000000000001000001111111111000000000000000000000000000001 +0 774 0001000000000000001000001111111111000000000000000000000000000001 +0 773 0001000000000000001000001111111111000000000000000000000000000001 +0 772 0001000000000000001000001111111111000000000000000000000000000001 +0 771 0001000000000000001000001111111111000000000000000000000000000001 +0 770 0001000000000000001000001111111111000000000000000000000000000001 +0 769 0001000000000000001000001111111111000000000000000000000000000001 +0 768 0001000000000000001000001111111111000000000000000000000000000001 +0 767 0001000000000000001000001111111111000000000000000000000000000001 +0 766 0001000000000000001000001111111111000000000000000000000000000001 +0 765 0001000000000000001000001111111111000000000000000000000000000001 +0 764 0001000000000000001000001111111111000000000000000000000000000001 +0 763 0001000000000000001000001111111111000000000000000000000000000001 +0 762 0001000000000000001000001111111111000000000000000000000000000001 +0 761 0001000000000000001000001111111111000000000000000000000000000001 +0 760 0001000000000000001000001111111111000000000000000000000000000001 +0 759 0001000000000000001000001111111111000000000000000000000000000001 +0 758 0001000000000000001000001111111111000000000000000000000000000001 +0 757 0001000000000000001000001111111111000000000000000000000000000001 +0 756 0001000000000000001000001111111111000000000000000000000000000001 +0 755 0001000000000000001000001111111111000000000000000000000000000001 +0 754 0001000000000000001000001111111111000000000000000000000000000001 +0 753 0001000000000000001000001111111111000000000000000000000000000001 +0 752 0001000000000000001000001111111111000000000000000000000000000001 +0 751 0001000000000000001000001111111111000000000000000000000000000001 +0 750 0001000000000000001000001111111111000000000000000000000000000001 +0 749 0001000000000000001000001111111111000000000000000000000000000001 +0 748 0001000000000000001000001111111111000000000000000000000000000001 +0 747 0001000000000000001000001111111111000000000000000000000000000001 +0 746 0001000000000000001000001111111111000000000000000000000000000001 +0 745 0001000000000000001000001111111111000000000000000000000000000001 +0 744 0001000000000000001000001111111111000000000000000000000000000001 +0 743 0001000000000000001000001111111111000000000000000000000000000001 +0 742 0001000000000000001000001111111111000000000000000000000000000001 +0 741 0001000000000000001000001111111111000000000000000000000000000001 +0 740 0001000000000000001000001111111111000000000000000000000000000001 +0 739 0001000000000000001000001111111111000000000000000000000000000001 +0 738 0001000000000000001000001111111111000000000000000000000000000001 +0 737 0001000000000000001000001111111111000000000000000000000000000001 +0 736 0001000000000000001000001111111111000000000000000000000000000001 +0 735 0001000000000000001000001111111111000000000000000000000000000001 +0 734 0001000000000000001000001111111111000000000000000000000000000001 +0 733 0001000000000000001000001111111111000000000000000000000000000001 +0 732 0001000000000000001000001111111111000000000000000000000000000001 +0 731 0001000000000000001000001111111111000000000000000000000000000001 +0 730 0001000000000000001000001111111111000000000000000000000000000001 +0 729 0001000000000000001000001111111111000000000000000000000000000001 +0 728 0001000000000000001000001111111111000000000000000000000000000001 +0 727 0001000000000000001000001111111111000000000000000000000000000001 +0 726 0001000000000000001000001111111111000000000000000000000000000001 +0 725 0001000000000000001000001111111111000000000000000000000000000001 +0 724 0001000000000000001000001111111111000000000000000000000000000001 +0 723 0001000000000000001000001111111111000000000000000000000000000001 +0 722 0001000000000000001000001111111111000000000000000000000000000001 +0 721 0001000000000000001000001111111111000000000000000000000000000001 +0 720 0001000000000000001000001111111111000000000000000000000000000001 +0 719 0001000000000000001000001111111111000000000000000000000000000001 +0 718 0001000000000000001000001111111111000000000000000000000000000001 +0 717 0001000000000000001000001111111111000000000000000000000000000001 +0 716 0001000000000000001000001111111111000000000000000000000000000001 +0 715 0001000000000000001000001111111111000000000000000000000000000001 +0 714 0001000000000000001000001111111111000000000000000000000000000001 +0 713 0001000000000000001000001111111111000000000000000000000000000001 +0 712 0001000000000000001000001111111111000000000000000000000000000001 +0 711 0001000000000000001000001111111111000000000000000000000000000001 +0 710 0001000000000000001000001111111111000000000000000000000000000001 +0 709 0001000000000000001000001111111111000000000000000000000000000001 +0 708 0001000000000000001000001111111111000000000000000000000000000001 +0 707 0001000000000000001000001111111111000000000000000000000000000001 +0 706 0001000000000000001000001111111111000000000000000000000000000001 +0 705 0001000000000000001000001111111111000000000000000000000000000001 +0 704 0001000000000000001000001111111111000000000000000000000000000001 +0 703 0001000000000000001000001111111111000000000000000000000000000001 +0 702 0001000000000000001000001111111111000000000000000000000000000001 +0 701 0001000000000000001000001111111111000000000000000000000000000001 +0 700 0001000000000000001000001111111111000000000000000000000000000001 +0 699 0001000000000000001000001111111111000000000000000000000000000001 +0 698 0001000000000000001000001111111111000000000000000000000000000001 +0 697 0001000000000000001000001111111111000000000000000000000000000001 +0 696 0001000000000000001000001111111111000000000000000000000000000001 +0 695 0001000000000000001000001111111111000000000000000000000000000001 +0 694 0001000000000000001000001111111111000000000000000000000000000001 +0 693 0001000000000000001000001111111111000000000000000000000000000001 +0 692 0001000000000000001000001111111111000000000000000000000000000001 +0 691 0001000000000000001000001111111111000000000000000000000000000001 +0 690 0001000000000000001000001111111111000000000000000000000000000001 +0 689 0001000000000000001000001111111111000000000000000000000000000001 +0 688 0001000000000000001000001111111111000000000000000000000000000001 +0 687 0001000000000000001000001111111111000000000000000000000000000001 +0 686 0001000000000000001000001111111111000000000000000000000000000001 +0 685 0001000000000000001000001111111111000000000000000000000000000001 +0 684 0001000000000000001000001111111111000000000000000000000000000001 +0 683 0001000000000000001000001111111111000000000000000000000000000001 +0 682 0001000000000000001000001111111111000000000000000000000000000001 +0 681 0001000000000000001000001111111111000000000000000000000000000001 +0 680 0001000000000000001000001111111111000000000000000000000000000001 +0 679 0001000000000000001000001111111111000000000000000000000000000001 +0 678 0001000000000000001000001111111111000000000000000000000000000001 +0 677 0001000000000000001000001111111111000000000000000000000000000001 +0 676 0001000000000000001000001111111111000000000000000000000000000001 +0 675 0001000000000000001000001111111111000000000000000000000000000001 +0 674 0001000000000000001000001111111111000000000000000000000000000001 +0 673 0001000000000000001000001111111111000000000000000000000000000001 +0 672 0001000000000000001000001111111111000000000000000000000000000001 +0 671 0001000000000000001000001111111111000000000000000000000000000001 +0 670 0001000000000000001000001111111111000000000000000000000000000001 +0 669 0001000000000000001000001111111111000000000000000000000000000001 +0 668 0001000000000000001000001111111111000000000000000000000000000001 +0 667 0001000000000000001000001111111111000000000000000000000000000001 +0 666 0001000000000000001000001111111111000000000000000000000000000001 +0 665 0001000000000000001000001111111111000000000000000000000000000001 +0 664 0001000000000000001000001111111111000000000000000000000000000001 +0 663 0001000000000000001000001111111111000000000000000000000000000001 +0 662 0001000000000000001000001111111111000000000000000000000000000001 +0 661 0001000000000000001000001111111111000000000000000000000000000001 +0 660 0001000000000000001000001111111111000000000000000000000000000001 +0 659 0001000000000000001000001111111111000000000000000000000000000001 +0 658 0001000000000000001000001111111111000000000000000000000000000001 +0 657 0001000000000000001000001111111111000000000000000000000000000001 +0 656 0001000000000000001000001111111111000000000000000000000000000001 +0 655 0001000000000000001000001111111111000000000000000000000000000001 +0 654 0001000000000000001000001111111111000000000000000000000000000001 +0 653 0001000000000000001000001111111111000000000000000000000000000001 +0 652 0001000000000000001000001111111111000000000000000000000000000001 +0 651 0001000000000000001000001111111111000000000000000000000000000001 +0 650 0001000000000000001000001111111111000000000000000000000000000001 +0 649 0001000000000000001000001111111111000000000000000000000000000001 +0 648 0001000000000000001000001111111111000000000000000000000000000001 +0 647 0001000000000000001000001111111111000000000000000000000000000001 +0 646 0001000000000000001000001111111111000000000000000000000000000001 +0 645 0001000000000000001000001111111111000000000000000000000000000001 +0 644 0001000000000000001000001111111111000000000000000000000000000001 +0 643 0001000000000000001000001111111111000000000000000000000000000001 +0 642 0001000000000000001000001111111111000000000000000000000000000001 +0 641 0001000000000000001000001111111111000000000000000000000000000001 +0 640 0001000000000000001000001111111111000000000000000000000000000001 +0 639 0001000000000000001000001111111111000000000000000000000000000001 +0 638 0001000000000000001000001111111111000000000000000000000000000001 +0 637 0001000000000000001000001111111111000000000000000000000000000001 +0 636 0001000000000000001000001111111111000000000000000000000000000001 +0 635 0001000000000000001000001111111111000000000000000000000000000001 +0 634 0001000000000000001000001111111111000000000000000000000000000001 +0 633 0001000000000000001000001111111111000000000000000000000000000001 +0 632 0001000000000000001000001111111111000000000000000000000000000001 +0 631 0001000000000000001000001111111111000000000000000000000000000001 +0 630 0001000000000000001000001111111111000000000000000000000000000001 +0 629 0001000000000000001000001111111111000000000000000000000000000001 +0 628 0001000000000000001000001111111111000000000000000000000000000001 +0 627 0001000000000000001000001111111111000000000000000000000000000001 +0 626 0001000000000000001000001111111111000000000000000000000000000001 +0 625 0001000000000000001000001111111111000000000000000000000000000001 +0 624 0001000000000000001000001111111111000000000000000000000000000001 +0 623 0001000000000000001000001111111111000000000000000000000000000001 +0 622 0001000000000000001000001111111111000000000000000000000000000001 +0 621 0001000000000000001000001111111111000000000000000000000000000001 +0 620 0001000000000000001000001111111111000000000000000000000000000001 +0 619 0001000000000000001000001111111111000000000000000000000000000001 +0 618 0001000000000000001000001111111111000000000000000000000000000001 +0 617 0001000000000000001000001111111111000000000000000000000000000001 +0 616 0001000000000000001000001111111111000000000000000000000000000001 +0 615 0001000000000000001000001111111111000000000000000000000000000001 +0 614 0001000000000000001000001111111111000000000000000000000000000001 +0 613 0001000000000000001000001111111111000000000000000000000000000001 +0 612 0001000000000000001000001111111111000000000000000000000000000001 +0 611 0001000000000000001000001111111111000000000000000000000000000001 +0 610 0001000000000000001000001111111111000000000000000000000000000001 +0 609 0001000000000000001000001111111111000000000000000000000000000001 +0 608 0001000000000000001000001111111111000000000000000000000000000001 +0 607 0001000000000000001000001111111111000000000000000000000000000001 +0 606 0001000000000000001000001111111111000000000000000000000000000001 +0 605 0001000000000000001000001111111111000000000000000000000000000001 +0 604 0001000000000000001000001111111111000000000000000000000000000001 +0 603 0001000000000000001000001111111111000000000000000000000000000001 +0 602 0001000000000000001000001111111111000000000000000000000000000001 +0 601 0001000000000000001000001111111111000000000000000000000000000001 +0 600 0001000000000000001000001111111111000000000000000000000000000001 +0 599 0001000000000000001000001111111111000000000000000000000000000001 +0 598 0001000000000000001000001111111111000000000000000000000000000001 +0 597 0001000000000000001000001111111111000000000000000000000000000001 +0 596 0001000000000000001000001111111111000000000000000000000000000001 +0 595 0001000000000000001000001111111111000000000000000000000000000001 +0 594 0001000000000000001000001111111111000000000000000000000000000001 +0 593 0001000000000000001000001111111111000000000000000000000000000001 +0 592 0001000000000000001000001111111111000000000000000000000000000001 +0 591 0001000000000000001000001111111111000000000000000000000000000001 +0 590 0001000000000000001000001111111111000000000000000000000000000001 +0 589 0001000000000000001000001111111111000000000000000000000000000001 +0 588 0001000000000000001000001111111111000000000000000000000000000001 +0 587 0001000000000000001000001111111111000000000000000000000000000001 +0 586 0001000000000000001000001111111111000000000000000000000000000001 +0 585 0001000000000000001000001111111111000000000000000000000000000001 +0 584 0001000000000000001000001111111111000000000000000000000000000001 +0 583 0001000000000000001000001111111111000000000000000000000000000001 +0 582 0001000000000000001000001111111111000000000000000000000000000001 +0 581 0001000000000000001000001111111111000000000000000000000000000001 +0 580 0001000000000000001000001111111111000000000000000000000000000001 +0 579 0001000000000000001000001111111111000000000000000000000000000001 +0 578 0001000000000000001000001111111111000000000000000000000000000001 +0 577 0001000000000000001000001111111111000000000000000000000000000001 +0 576 0001000000000000001000001111111111000000000000000000000000000001 +0 575 0001000000000000001000001111111111000000000000000000000000000001 +0 574 0001000000000000001000001111111111000000000000000000000000000001 +0 573 0001000000000000001000001111111111000000000000000000000000000001 +0 572 0001000000000000001000001111111111000000000000000000000000000001 +0 571 0001000000000000001000001111111111000000000000000000000000000001 +0 570 0001000000000000001000001111111111000000000000000000000000000001 +0 569 0001000000000000001000001111111111000000000000000000000000000001 +0 568 0001000000000000001000001111111111000000000000000000000000000001 +0 567 0001000000000000001000001111111111000000000000000000000000000001 +0 566 0001000000000000001000001111111111000000000000000000000000000001 +0 565 0001000000000000001000001111111111000000000000000000000000000001 +0 564 0001000000000000001000001111111111000000000000000000000000000001 +0 563 0001000000000000001000001111111111000000000000000000000000000001 +0 562 0001000000000000001000001111111111000000000000000000000000000001 +0 561 0001000000000000001000001111111111000000000000000000000000000001 +0 560 0001000000000000001000001111111111000000000000000000000000000001 +0 559 0001000000000000001000001111111111000000000000000000000000000001 +0 558 0001000000000000001000001111111111000000000000000000000000000001 +0 557 0001000000000000001000001111111111000000000000000000000000000001 +0 556 0001000000000000001000001111111111000000000000000000000000000001 +0 555 0001000000000000001000001111111111000000000000000000000000000001 +0 554 0001000000000000001000001111111111000000000000000000000000000001 +0 553 0001000000000000001000001111111111000000000000000000000000000001 +0 552 0001000000000000001000001111111111000000000000000000000000000001 +0 551 0001000000000000001000001111111111000000000000000000000000000001 +0 550 0001000000000000001000001111111111000000000000000000000000000001 +0 549 0001000000000000001000001111111111000000000000000000000000000001 +0 548 0001000000000000001000001111111111000000000000000000000000000001 +0 547 0001000000000000001000001111111111000000000000000000000000000001 +0 546 0001000000000000001000001111111111000000000000000000000000000001 +0 545 0001000000000000001000001111111111000000000000000000000000000001 +0 544 0001000000000000001000001111111111000000000000000000000000000001 +0 543 0001000000000000001000001111111111000000000000000000000000000001 +0 542 0001000000000000001000001111111111000000000000000000000000000001 +0 541 0001000000000000001000001111111111000000000000000000000000000001 +0 540 0001000000000000001000001111111111000000000000000000000000000001 +0 539 0001000000000000001000001111111111000000000000000000000000000001 +0 538 0001000000000000001000001111111111000000000000000000000000000001 +0 537 0001000000000000001000001111111111000000000000000000000000000001 +0 536 0001000000000000001000001111111111000000000000000000000000000001 +0 535 0001000000000000001000001111111111000000000000000000000000000001 +0 534 0001000000000000001000001111111111000000000000000000000000000001 +0 533 0001000000000000001000001111111111000000000000000000000000000001 +0 532 0001000000000000001000001111111111000000000000000000000000000001 +0 531 0001000000000000001000001111111111000000000000000000000000000001 +0 530 0001000000000000001000001111111111000000000000000000000000000001 +0 529 0001000000000000001000001111111111000000000000000000000000000001 +0 528 0001000000000000001000001111111111000000000000000000000000000001 +0 527 0001000000000000001000001111111111000000000000000000000000000001 +0 526 0001000000000000001000001111111111000000000000000000000000000001 +0 525 0001000000000000001000001111111111000000000000000000000000000001 +0 524 0001000000000000001000001111111111000000000000000000000000000001 +0 523 0001000000000000001000001111111111000000000000000000000000000001 +0 522 0001000000000000001000001111111111000000000000000000000000000001 +0 521 0001000000000000001000001111111111000000000000000000000000000001 +0 520 0001000000000000001000001111111111000000000000000000000000000001 +0 519 0001000000000000001000001111111111000000000000000000000000000001 +0 518 0001000000000000001000001111111111000000000000000000000000000001 +0 517 0001000000000000001000001111111111000000000000000000000000000001 +0 516 0001000000000000001000001111111111000000000000000000000000000001 +0 515 0001000000000000001000001111111111000000000000000000000000000001 +0 514 0001000000000000001000001111111111000000000000000000000000000001 +0 513 0001000000000000001000001111111111000000000000000000000000000001 +0 512 0001000000000000001000001111111111000000000000000000000000000001 +0 511 0001000000000000001000001111111111000000000000000000000000000001 +0 510 0001000000000000001000001111111111000000000000000000000000000001 +0 509 0001000000000000001000001111111111000000000000000000000000000001 +0 508 0001000000000000001000001111111111000000000000000000000000000001 +0 507 0001000000000000001000001111111111000000000000000000000000000001 +0 506 0001000000000000001000001111111111000000000000000000000000000001 +0 505 0001000000000000001000001111111111000000000000000000000000000001 +0 504 0001000000000000001000001111111111000000000000000000000000000001 +0 503 0001000000000000001000001111111111000000000000000000000000000001 +0 502 0001000000000000001000001111111111000000000000000000000000000001 +0 501 0001000000000000001000001111111111000000000000000000000000000001 +0 500 0001000000000000001000001111111111000000000000000000000000000001 +0 499 0001000000000000001000001111111111000000000000000000000000000001 +0 498 0001000000000000001000001111111111000000000000000000000000000001 +0 497 0001000000000000001000001111111111000000000000000000000000000001 +0 496 0001000000000000001000001111111111000000000000000000000000000001 +0 495 0001000000000000001000001111111111000000000000000000000000000001 +0 494 0001000000000000001000001111111111000000000000000000000000000001 +0 493 0001000000000000001000001111111111000000000000000000000000000001 +0 492 0001000000000000001000001111111111000000000000000000000000000001 +0 491 0001000000000000001000001111111111000000000000000000000000000001 +0 490 0001000000000000001000001111111111000000000000000000000000000001 +0 489 0001000000000000001000001111111111000000000000000000000000000001 +0 488 0001000000000000001000001111111111000000000000000000000000000001 +0 487 0001000000000000001000001111111111000000000000000000000000000001 +0 486 0001000000000000001000001111111111000000000000000000000000000001 +0 485 0001000000000000001000001111111111000000000000000000000000000001 +0 484 0001000000000000001000001111111111000000000000000000000000000001 +0 483 0001000000000000001000001111111111000000000000000000000000000001 +0 482 0001000000000000001000001111111111000000000000000000000000000001 +0 481 0001000000000000001000001111111111000000000000000000000000000001 +0 480 0001000000000000001000001111111111000000000000000000000000000001 +0 479 0001000000000000001000001111111111000000000000000000000000000001 +0 478 0001000000000000001000001111111111000000000000000000000000000001 +0 477 0001000000000000001000001111111111000000000000000000000000000001 +0 476 0001000000000000001000001111111111000000000000000000000000000001 +0 475 0001000000000000001000001111111111000000000000000000000000000001 +0 474 0001000000000000001000001111111111000000000000000000000000000001 +0 473 0001000000000000001000001111111111000000000000000000000000000001 +0 472 0001000000000000001000001111111111000000000000000000000000000001 +0 471 0001000000000000001000001111111111000000000000000000000000000001 +0 470 0001000000000000001000001111111111000000000000000000000000000001 +0 469 0001000000000000001000001111111111000000000000000000000000000001 +0 468 0001000000000000001000001111111111000000000000000000000000000001 +0 467 0001000000000000001000001111111111000000000000000000000000000001 +0 466 0001000000000000001000001111111111000000000000000000000000000001 +0 465 0001000000000000001000001111111111000000000000000000000000000001 +0 464 0001000000000000001000001111111111000000000000000000000000000001 +0 463 0001000000000000001000001111111111000000000000000000000000000001 +0 462 0001000000000000001000001111111111000000000000000000000000000001 +0 461 0001000000000000001000001111111111000000000000000000000000000001 +0 460 0001000000000000001000001111111111000000000000000000000000000001 +0 459 0001000000000000001000001111111111000000000000000000000000000001 +0 458 0001000000000000001000001111111111000000000000000000000000000001 +0 457 0001000000000000001000001111111111000000000000000000000000000001 +0 456 0001000000000000001000001111111111000000000000000000000000000001 +0 455 0001000000000000001000001111111111000000000000000000000000000001 +0 454 0001000000000000001000001111111111000000000000000000000000000001 +0 453 0001000000000000001000001111111111000000000000000000000000000001 +0 452 0001000000000000001000001111111111000000000000000000000000000001 +0 451 0001000000000000001000001111111111000000000000000000000000000001 +0 450 0001000000000000001000001111111111000000000000000000000000000001 +0 449 0001000000000000001000001111111111000000000000000000000000000001 +0 448 0001000000000000001000001111111111000000000000000000000000000001 +0 447 0001000000000000001000001111111111000000000000000000000000000001 +0 446 0001000000000000001000001111111111000000000000000000000000000001 +0 445 0001000000000000001000001111111111000000000000000000000000000001 +0 444 0001000000000000001000001111111111000000000000000000000000000001 +0 443 0001000000000000001000001111111111000000000000000000000000000001 +0 442 0001000000000000001000001111111111000000000000000000000000000001 +0 441 0001000000000000001000001111111111000000000000000000000000000001 +0 440 0001000000000000001000001111111111000000000000000000000000000001 +0 439 0001000000000000001000001111111111000000000000000000000000000001 +0 438 0001000000000000001000001111111111000000000000000000000000000001 +0 437 0001000000000000001000001111111111000000000000000000000000000001 +0 436 0001000000000000001000001111111111000000000000000000000000000001 +0 435 0001000000000000001000001111111111000000000000000000000000000001 +0 434 0001000000000000001000001111111111000000000000000000000000000001 +0 433 0001000000000000001000001111111111000000000000000000000000000001 +0 432 0001000000000000001000001111111111000000000000000000000000000001 +0 431 0001000000000000001000001111111111000000000000000000000000000001 +0 430 0001000000000000001000001111111111000000000000000000000000000001 +0 429 0001000000000000001000001111111111000000000000000000000000000001 +0 428 0001000000000000001000001111111111000000000000000000000000000001 +0 427 0001000000000000001000001111111111000000000000000000000000000001 +0 426 0001000000000000001000001111111111000000000000000000000000000001 +0 425 0001000000000000001000001111111111000000000000000000000000000001 +0 424 0001000000000000001000001111111111000000000000000000000000000001 +0 423 0001000000000000001000001111111111000000000000000000000000000001 +0 422 0001000000000000001000001111111111000000000000000000000000000001 +0 421 0001000000000000001000001111111111000000000000000000000000000001 +0 420 0001000000000000001000001111111111000000000000000000000000000001 +0 419 0001000000000000001000001111111111000000000000000000000000000001 +0 418 0001000000000000001000001111111111000000000000000000000000000001 +0 417 0001000000000000001000001111111111000000000000000000000000000001 +0 416 0001000000000000001000001111111111000000000000000000000000000001 +0 415 0001000000000000001000001111111111000000000000000000000000000001 +0 414 0001000000000000001000001111111111000000000000000000000000000001 +0 413 0001000000000000001000001111111111000000000000000000000000000001 +0 412 0001000000000000001000001111111111000000000000000000000000000001 +0 411 0001000000000000001000001111111111000000000000000000000000000001 +0 410 0001000000000000001000001111111111000000000000000000000000000001 +0 409 0001000000000000001000001111111111000000000000000000000000000001 +0 408 0001000000000000001000001111111111000000000000000000000000000001 +0 407 0001000000000000001000001111111111000000000000000000000000000001 +0 406 0001000000000000001000001111111111000000000000000000000000000001 +0 405 0001000000000000001000001111111111000000000000000000000000000001 +0 404 0001000000000000001000001111111111000000000000000000000000000001 +0 403 0001000000000000001000001111111111000000000000000000000000000001 +0 402 0001000000000000001000001111111111000000000000000000000000000001 +0 401 0001000000000000001000001111111111000000000000000000000000000001 +0 400 0001000000000000001000001111111111000000000000000000000000000001 +0 399 0001000000000000001000001111111111000000000000000000000000000001 +0 398 0001000000000000001000001111111111000000000000000000000000000001 +0 397 0001000000000000001000001111111111000000000000000000000000000001 +0 396 0001000000000000001000001111111111000000000000000000000000000001 +0 395 0001000000000000001000001111111111000000000000000000000000000001 +0 394 0001000000000000001000001111111111000000000000000000000000000001 +0 393 0001000000000000001000001111111111000000000000000000000000000001 +0 392 0001000000000000001000001111111111000000000000000000000000000001 +0 391 0001000000000000001000001111111111000000000000000000000000000001 +0 390 0001000000000000001000001111111111000000000000000000000000000001 +0 389 0001000000000000001000001111111111000000000000000000000000000001 +0 388 0001000000000000001000001111111111000000000000000000000000000001 +0 387 0001000000000000001000001111111111000000000000000000000000000001 +0 386 0001000000000000001000001111111111000000000000000000000000000001 +0 385 0001000000000000001000001111111111000000000000000000000000000001 +0 384 0001000000000000001000001111111111000000000000000000000000000001 +0 383 0001000000000000001000001111111111000000000000000000000000000001 +0 382 0001000000000000001000001111111111000000000000000000000000000001 +0 381 0001000000000000001000001111111111000000000000000000000000000001 +0 380 0001000000000000001000001111111111000000000000000000000000000001 +0 379 0001000000000000001000001111111111000000000000000000000000000001 +0 378 0001000000000000001000001111111111000000000000000000000000000001 +0 377 0001000000000000001000001111111111000000000000000000000000000001 +0 376 0001000000000000001000001111111111000000000000000000000000000001 +0 375 0001000000000000001000001111111111000000000000000000000000000001 +0 374 0001000000000000001000001111111111000000000000000000000000000001 +0 373 0001000000000000001000001111111111000000000000000000000000000001 +0 372 0001000000000000001000001111111111000000000000000000000000000001 +0 371 0001000000000000001000001111111111000000000000000000000000000001 +0 370 0001000000000000001000001111111111000000000000000000000000000001 +0 369 0001000000000000001000001111111111000000000000000000000000000001 +0 368 0001000000000000001000001111111111000000000000000000000000000001 +0 367 0001000000000000001000001111111111000000000000000000000000000001 +0 366 0001000000000000001000001111111111000000000000000000000000000001 +0 365 0001000000000000001000001111111111000000000000000000000000000001 +0 364 0001000000000000001000001111111111000000000000000000000000000001 +0 363 0001000000000000001000001111111111000000000000000000000000000001 +0 362 0001000000000000001000001111111111000000000000000000000000000001 +0 361 0001000000000000001000001111111111000000000000000000000000000001 +0 360 0001000000000000001000001111111111000000000000000000000000000001 +0 359 0001000000000000001000001111111111000000000000000000000000000001 +0 358 0001000000000000001000001111111111000000000000000000000000000001 +0 357 0001000000000000001000001111111111000000000000000000000000000001 +0 356 0001000000000000001000001111111111000000000000000000000000000001 +0 355 0001000000000000001000001111111111000000000000000000000000000001 +0 354 0001000000000000001000001111111111000000000000000000000000000001 +0 353 0001000000000000001000001111111111000000000000000000000000000001 +0 352 0001000000000000001000001111111111000000000000000000000000000001 +0 351 0001000000000000001000001111111111000000000000000000000000000001 +0 350 0001000000000000001000001111111111000000000000000000000000000001 +0 349 0001000000000000001000001111111111000000000000000000000000000001 +0 348 0001000000000000001000001111111111000000000000000000000000000001 +0 347 0001000000000000001000001111111111000000000000000000000000000001 +0 346 0001000000000000001000001111111111000000000000000000000000000001 +0 345 0001000000000000001000001111111111000000000000000000000000000001 +0 344 0001000000000000001000001111111111000000000000000000000000000001 +0 343 0001000000000000001000001111111111000000000000000000000000000001 +0 342 0001000000000000001000001111111111000000000000000000000000000001 +0 341 0001000000000000001000001111111111000000000000000000000000000001 +0 340 0001000000000000001000001111111111000000000000000000000000000001 +0 339 0001000000000000001000001111111111000000000000000000000000000001 +0 338 0001000000000000001000001111111111000000000000000000000000000001 +0 337 0001000000000000001000001111111111000000000000000000000000000001 +0 336 0001000000000000001000001111111111000000000000000000000000000001 +0 335 0001000000000000001000001111111111000000000000000000000000000001 +0 334 0001000000000000001000001111111111000000000000000000000000000001 +0 333 0001000000000000001000001111111111000000000000000000000000000001 +0 332 0001000000000000001000001111111111000000000000000000000000000001 +0 331 0001000000000000001000001111111111000000000000000000000000000001 +0 330 0001000000000000001000001111111111000000000000000000000000000001 +0 329 0001000000000000001000001111111111000000000000000000000000000001 +0 328 0001000000000000001000001111111111000000000000000000000000000001 +0 327 0001000000000000001000001111111111000000000000000000000000000001 +0 326 0001000000000000001000001111111111000000000000000000000000000001 +0 325 0001000000000000001000001111111111000000000000000000000000000001 +0 324 0001000000000000001000001111111111000000000000000000000000000001 +0 323 0001000000000000001000001111111111000000000000000000000000000001 +0 322 0001000000000000001000001111111111000000000000000000000000000001 +0 321 0001000000000000001000001111111111000000000000000000000000000001 +0 320 0001000000000000001000001111111111000000000000000000000000000001 +0 319 0001000000000000001000001111111111000000000000000000000000000001 +0 318 0001000000000000001000001111111111000000000000000000000000000001 +0 317 0001000000000000001000001111111111000000000000000000000000000001 +0 316 0001000000000000001000001111111111000000000000000000000000000001 +0 315 0001000000000000001000001111111111000000000000000000000000000001 +0 314 0001000000000000001000001111111111000000000000000000000000000001 +0 313 0001000000000000001000001111111111000000000000000000000000000001 +0 312 0001000000000000001000001111111111000000000000000000000000000001 +0 311 0001000000000000001000001111111111000000000000000000000000000001 +0 310 0001000000000000001000001111111111000000000000000000000000000001 +0 309 0001000000000000001000001111111111000000000000000000000000000001 +0 308 0001000000000000001000001111111111000000000000000000000000000001 +0 307 0001000000000000001000001111111111000000000000000000000000000001 +0 306 0001000000000000001000001111111111000000000000000000000000000001 +0 305 0001000000000000001000001111111111000000000000000000000000000001 +0 304 0001000000000000001000001111111111000000000000000000000000000001 +0 303 0001000000000000001000001111111111000000000000000000000000000001 +0 302 0001000000000000001000001111111111000000000000000000000000000001 +0 301 0001000000000000001000001111111111000000000000000000000000000001 +0 300 0001000000000000001000001111111111000000000000000000000000000001 +0 299 0001000000000000001000001111111111000000000000000000000000000001 +0 298 0001000000000000001000001111111111000000000000000000000000000001 +0 297 0001000000000000001000001111111111000000000000000000000000000001 +0 296 0001000000000000001000001111111111000000000000000000000000000001 +0 295 0001000000000000001000001111111111000000000000000000000000000001 +0 294 0001000000000000001000001111111111000000000000000000000000000001 +0 293 0001000000000000001000001111111111000000000000000000000000000001 +0 292 0001000000000000001000001111111111000000000000000000000000000001 +0 291 0001000000000000001000001111111111000000000000000000000000000001 +0 290 0001000000000000001000001111111111000000000000000000000000000001 +0 289 0001000000000000001000001111111111000000000000000000000000000001 +0 288 0001000000000000001000001111111111000000000000000000000000000001 +0 287 0001000000000000001000001111111111000000000000000000000000000001 +0 286 0001000000000000001000001111111111000000000000000000000000000001 +0 285 0001000000000000001000001111111111000000000000000000000000000001 +0 284 0001000000000000001000001111111111000000000000000000000000000001 +0 283 0001000000000000001000001111111111000000000000000000000000000001 +0 282 0001000000000000001000001111111111000000000000000000000000000001 +0 281 0001000000000000001000001111111111000000000000000000000000000001 +0 280 0001000000000000001000001111111111000000000000000000000000000001 +0 279 0001000000000000001000001111111111000000000000000000000000000001 +0 278 0001000000000000001000001111111111000000000000000000000000000001 +0 277 0001000000000000001000001111111111000000000000000000000000000001 +0 276 0001000000000000001000001111111111000000000000000000000000000001 +0 275 0001000000000000001000001111111111000000000000000000000000000001 +0 274 0001000000000000001000001111111111000000000000000000000000000001 +0 273 0001000000000000001000001111111111000000000000000000000000000001 +0 272 0001000000000000001000001111111111000000000000000000000000000001 +0 271 0001000000000000001000001111111111000000000000000000000000000001 +0 270 0001000000000000001000001111111111000000000000000000000000000001 +0 269 0001000000000000001000001111111111000000000000000000000000000001 +0 268 0001000000000000001000001111111111000000000000000000000000000001 +0 267 0001000000000000001000001111111111000000000000000000000000000001 +0 266 0001000000000000001000001111111111000000000000000000000000000001 +0 265 0001000000000000001000001111111111000000000000000000000000000001 +0 264 0001000000000000001000001111111111000000000000000000000000000001 +0 263 0001000000000000001000001111111111000000000000000000000000000001 +0 262 0001000000000000001000001111111111000000000000000000000000000001 +0 261 0001000000000000001000001111111111000000000000000000000000000001 +0 260 0001000000000000001000001111111111000000000000000000000000000001 +0 259 0001000000000000001000001111111111000000000000000000000000000001 +0 258 0001000000000000001000001111111111000000000000000000000000000001 +0 257 0001000000000000001000001111111111000000000000000000000000000001 +0 256 0001000000000000001000001111111111000000000000000000000000000001 +0 255 0001000000000000001000001111111111000000000000000000000000000001 +0 254 0001000000000000001000001111111111000000000000000000000000000001 +0 253 0001000000000000001000001111111111000000000000000000000000000001 +0 252 0001000000000000001000001111111111000000000000000000000000000001 +0 251 0001000000000000001000001111111111000000000000000000000000000001 +0 250 0001000000000000001000001111111111000000000000000000000000000001 +0 249 0001000000000000001000001111111111000000000000000000000000000001 +0 248 0001000000000000001000001111111111000000000000000000000000000001 +0 247 0001000000000000001000001111111111000000000000000000000000000001 +0 246 0001000000000000001000001111111111000000000000000000000000000001 +0 245 0001000000000000001000001111111111000000000000000000000000000001 +0 244 0001000000000000001000001111111111000000000000000000000000000001 +0 243 0001000000000000001000001111111111000000000000000000000000000001 +0 242 0001000000000000001000001111111111000000000000000000000000000001 +0 241 0001000000000000001000001111111111000000000000000000000000000001 +0 240 0001000000000000001000001111111111000000000000000000000000000001 +0 239 0001000000000000001000001111111111000000000000000000000000000001 +0 238 0001000000000000001000001111111111000000000000000000000000000001 +0 237 0001000000000000001000001111111111000000000000000000000000000001 +0 236 0001000000000000001000001111111111000000000000000000000000000001 +0 235 0001000000000000001000001111111111000000000000000000000000000001 +0 234 0001000000000000001000001111111111000000000000000000000000000001 +0 233 0001000000000000001000001111111111000000000000000000000000000001 +0 232 0001000000000000001000001111111111000000000000000000000000000001 +0 231 0001000000000000001000001111111111000000000000000000000000000001 +0 230 0001000000000000001000001111111111000000000000000000000000000001 +0 229 0001000000000000001000001111111111000000000000000000000000000001 +0 228 0001000000000000001000001111111111000000000000000000000000000001 +0 227 0001000000000000001000001111111111000000000000000000000000000001 +0 226 0001000000000000001000001111111111000000000000000000000000000001 +0 225 0001000000000000001000001111111111000000000000000000000000000001 +0 224 0001000000000000001000001111111111000000000000000000000000000001 +0 223 0001000000000000001000001111111111000000000000000000000000000001 +0 222 0001000000000000001000001111111111000000000000000000000000000001 +0 221 0001000000000000001000001111111111000000000000000000000000000001 +0 220 0001000000000000001000001111111111000000000000000000000000000001 +0 219 0001000000000000001000001111111111000000000000000000000000000001 +0 218 0001000000000000001000001111111111000000000000000000000000000001 +0 217 0001000000000000001000001111111111000000000000000000000000000001 +0 216 0001000000000000001000001111111111000000000000000000000000000001 +0 215 0001000000000000001000001111111111000000000000000000000000000001 +0 214 0001000000000000001000001111111111000000000000000000000000000001 +0 213 0001000000000000001000001111111111000000000000000000000000000001 +0 212 0001000000000000001000001111111111000000000000000000000000000001 +0 211 0001000000000000001000001111111111000000000000000000000000000001 +0 210 0001000000000000001000001111111111000000000000000000000000000001 +0 209 0001000000000000001000001111111111000000000000000000000000000001 +0 208 0001000000000000001000001111111111000000000000000000000000000001 +0 207 0001000000000000001000001111111111000000000000000000000000000001 +0 206 0001000000000000001000001111111111000000000000000000000000000001 +0 205 0001000000000000001000001111111111000000000000000000000000000001 +0 204 0001000000000000001000001111111111000000000000000000000000000001 +0 203 0001000000000000001000001111111111000000000000000000000000000001 +0 202 0001000000000000001000001111111111000000000000000000000000000001 +0 201 0001000000000000001000001111111111000000000000000000000000000001 +0 200 0001000000000000001000001111111111000000000000000000000000000001 +0 199 0001000000000000001000001111111111000000000000000000000000000001 +0 198 0001000000000000001000001111111111000000000000000000000000000001 +0 197 0001000000000000001000001111111111000000000000000000000000000001 +0 196 0001000000000000001000001111111111000000000000000000000000000001 +0 195 0001000000000000001000001111111111000000000000000000000000000001 +0 194 0001000000000000001000001111111111000000000000000000000000000001 +0 193 0001000000000000001000001111111111000000000000000000000000000001 +0 192 0001000000000000001000001111111111000000000000000000000000000001 +0 191 0001000000000000001000001111111111000000000000000000000000000001 +0 190 0001000000000000001000001111111111000000000000000000000000000001 +0 189 0001000000000000001000001111111111000000000000000000000000000001 +0 188 0001000000000000001000001111111111000000000000000000000000000001 +0 187 0001000000000000001000001111111111000000000000000000000000000001 +0 186 0001000000000000001000001111111111000000000000000000000000000001 +0 185 0001000000000000001000001111111111000000000000000000000000000001 +0 184 0001000000000000001000001111111111000000000000000000000000000001 +0 183 0001000000000000001000001111111111000000000000000000000000000001 +0 182 0001000000000000001000001111111111000000000000000000000000000001 +0 181 0001000000000000001000001111111111000000000000000000000000000001 +0 180 0001000000000000001000001111111111000000000000000000000000000001 +0 179 0001000000000000001000001111111111000000000000000000000000000001 +0 178 0001000000000000001000001111111111000000000000000000000000000001 +0 177 0001000000000000001000001111111111000000000000000000000000000001 +0 176 0001000000000000001000001111111111000000000000000000000000000001 +0 175 0001000000000000001000001111111111000000000000000000000000000001 +0 174 0001000000000000001000001111111111000000000000000000000000000001 +0 173 0001000000000000001000001111111111000000000000000000000000000001 +0 172 0001000000000000001000001111111111000000000000000000000000000001 +0 171 0001000000000000001000001111111111000000000000000000000000000001 +0 170 0001000000000000001000001111111111000000000000000000000000000001 +0 169 0001000000000000001000001111111111000000000000000000000000000001 +0 168 0001000000000000001000001111111111000000000000000000000000000001 +0 167 0001000000000000001000001111111111000000000000000000000000000001 +0 166 0001000000000000001000001111111111000000000000000000000000000001 +0 165 0001000000000000001000001111111111000000000000000000000000000001 +0 164 0001000000000000001000001111111111000000000000000000000000000001 +0 163 0001000000000000001000001111111111000000000000000000000000000001 +0 162 0001000000000000001000001111111111000000000000000000000000000001 +0 161 0001000000000000001000001111111111000000000000000000000000000001 +0 160 0001000000000000001000001111111111000000000000000000000000000001 +0 159 0001000000000000001000001111111111000000000000000000000000000001 +0 158 0001000000000000001000001111111111000000000000000000000000000001 +0 157 0001000000000000001000001111111111000000000000000000000000000001 +0 156 0001000000000000001000001111111111000000000000000000000000000001 +0 155 0001000000000000001000001111111111000000000000000000000000000001 +0 154 0001000000000000001000001111111111000000000000000000000000000001 +0 153 0001000000000000001000001111111111000000000000000000000000000001 +0 152 0001000000000000001000001111111111000000000000000000000000000001 +0 151 0001000000000000001000001111111111000000000000000000000000000001 +0 150 0001000000000000001000001111111111000000000000000000000000000001 +0 149 0001000000000000001000001111111111000000000000000000000000000001 +0 148 0001000000000000001000001111111111000000000000000000000000000001 +0 147 0001000000000000001000001111111111000000000000000000000000000001 +0 146 0001000000000000001000001111111111000000000000000000000000000001 +0 145 0001000000000000001000001111111111000000000000000000000000000001 +0 144 0001000000000000001000001111111111000000000000000000000000000001 +0 143 0001000000000000001000001111111111000000000000000000000000000001 +0 142 0001000000000000001000001111111111000000000000000000000000000001 +0 141 0001000000000000001000001111111111000000000000000000000000000001 +0 140 0001000000000000001000001111111111000000000000000000000000000001 +0 139 0001000000000000001000001111111111000000000000000000000000000001 +0 138 0001000000000000001000001111111111000000000000000000000000000001 +0 137 0001000000000000001000001111111111000000000000000000000000000001 +0 136 0001000000000000001000001111111111000000000000000000000000000001 +0 135 0001000000000000001000001111111111000000000000000000000000000001 +0 134 0001000000000000001000001111111111000000000000000000000000000001 +0 133 0001000000000000001000001111111111000000000000000000000000000001 +0 132 0001000000000000001000001111111111000000000000000000000000000001 +0 131 0001000000000000001000001111111111000000000000000000000000000001 +0 130 0001000000000000001000001111111111000000000000000000000000000001 +0 129 0001000000000000001000001111111111000000000000000000000000000001 +0 128 0001000000000000001000001111111111000000000000000000000000000001 +0 127 0001000000000000001000001111111111000000000000000000000000000001 +0 126 0001000000000000001000001111111111000000000000000000000000000001 +0 125 0001000000000000001000001111111111000000000000000000000000000001 +0 124 0001000000000000001000001111111111000000000000000000000000000001 +0 123 0001000000000000001000001111111111000000000000000000000000000001 +0 122 0001000000000000001000001111111111000000000000000000000000000001 +0 121 0001000000000000001000001111111111000000000000000000000000000001 +0 120 0001000000000000001000001111111111000000000000000000000000000001 +0 119 0001000000000000001000001111111111000000000000000000000000000001 +0 118 0001000000000000001000001111111111000000000000000000000000000001 +0 117 0001000000000000001000001111111111000000000000000000000000000001 +0 116 0001000000000000001000001111111111000000000000000000000000000001 +0 115 0001000000000000001000001111111111000000000000000000000000000001 +0 114 0001000000000000001000001111111111000000000000000000000000000001 +0 113 0001000000000000001000001111111111000000000000000000000000000001 +0 112 0001000000000000001000001111111111000000000000000000000000000001 +0 111 0001000000000000001000001111111111000000000000000000000000000001 +0 110 0001000000000000001000001111111111000000000000000000000000000001 +0 109 0001000000000000001000001111111111000000000000000000000000000001 +0 108 0001000000000000001000001111111111000000000000000000000000000001 +0 107 0001000000000000001000001111111111000000000000000000000000000001 +0 106 0001000000000000001000001111111111000000000000000000000000000001 +0 105 0001000000000000001000001111111111000000000000000000000000000001 +0 104 0001000000000000001000001111111111000000000000000000000000000001 +0 103 0001000000000000001000001111111111000000000000000000000000000001 +0 102 0001000000000000001000001111111111000000000000000000000000000001 +0 101 0001000000000000001000001111111111000000000000000000000000000001 +0 100 0001000000000000001000001111111111000000000000000000000000000001 +0 99 0001000000000000001000001111111111000000000000000000000000000001 +0 98 0001000000000000001000001111111111000000000000000000000000000001 +0 97 0001000000000000001000001111111111000000000000000000000000000001 +0 96 0001000000000000001000001111111111000000000000000000000000000001 +0 95 0001000000000000001000001111111111000000000000000000000000000001 +0 94 0001000000000000001000001111111111000000000000000000000000000001 +0 93 0001000000000000001000001111111111000000000000000000000000000001 +0 92 0001000000000000001000001111111111000000000000000000000000000001 +0 91 0001000000000000001000001111111111000000000000000000000000000001 +0 90 0001000000000000001000001111111111000000000000000000000000000001 +0 89 0001000000000000001000001111111111000000000000000000000000000001 +0 88 0001000000000000001000001111111111000000000000000000000000000001 +0 87 0001000000000000001000001111111111000000000000000000000000000001 +0 86 0001000000000000001000001111111111000000000000000000000000000001 +0 85 0001000000000000001000001111111111000000000000000000000000000001 +0 84 0001000000000000001000001111111111000000000000000000000000000001 +0 83 0001000000000000001000001111111111000000000000000000000000000001 +0 82 0001000000000000001000001111111111000000000000000000000000000001 +0 81 0001000000000000001000001111111111000000000000000000000000000001 +0 80 0001000000000000001000001111111111000000000000000000000000000001 +0 79 0001000000000000001000001111111111000000000000000000000000000001 +0 78 0001000000000000001000001111111111000000000000000000000000000001 +0 77 0001000000000000001000001111111111000000000000000000000000000001 +0 76 0001000000000000001000001111111111000000000000000000000000000001 +0 75 0001000000000000001000001111111111000000000000000000000000000001 +0 74 0001000000000000001000001111111111000000000000000000000000000001 +0 73 0001000000000000001000001111111111000000000000000000000000000001 +0 72 0001000000000000001000001111111111000000000000000000000000000001 +0 71 0001000000000000001000001111111111000000000000000000000000000001 +0 70 0001000000000000001000001111111111000000000000000000000000000001 +0 69 0001000000000000001000001111111111000000000000000000000000000001 +0 68 0001000000000000001000001111111111000000000000000000000000000001 +0 67 0001000000000000001000001111111111000000000000000000000000000001 +0 66 0001000000000000001000001111111111000000000000000000000000000001 +0 65 0001000000000000001000001111111111000000000000000000000000000001 +0 64 0001000000000000001000001111111111000000000000000000000000000001 +0 63 0001000000000000001000001111111111000000000000000000000000000001 +0 62 0001000000000000001000001111111111000000000000000000000000000001 +0 61 0001000000000000001000001111111111000000000000000000000000000001 +0 60 0001000000000000001000001111111111000000000000000000000000000001 +0 59 0001000000000000001000001111111111000000000000000000000000000001 +0 58 0001000000000000001000001111111111000000000000000000000000000001 +0 57 0001000000000000001000001111111111000000000000000000000000000001 +0 56 0001000000000000001000001111111111000000000000000000000000000001 +0 55 0001000000000000001000001111111111000000000000000000000000000001 +0 54 0001000000000000001000001111111111000000000000000000000000000001 +0 53 0001000000000000001000001111111111000000000000000000000000000001 +0 52 0001000000000000001000001111111111000000000000000000000000000001 +0 51 0001000000000000001000001111111111000000000000000000000000000001 +0 50 0001000000000000001000001111111111000000000000000000000000000001 +0 49 0001000000000000001000001111111111000000000000000000000000000001 +0 48 0001000000000000001000001111111111000000000000000000000000000001 +0 47 0001000000000000001000001111111111000000000000000000000000000001 +0 46 0001000000000000001000001111111111000000000000000000000000000001 +0 45 0001000000000000001000001111111111000000000000000000000000000001 +0 44 0001000000000000001000001111111111000000000000000000000000000001 +0 43 0001000000000000001000001111111111000000000000000000000000000001 +0 42 0001000000000000001000001111111111000000000000000000000000000001 +0 41 0001000000000000001000001111111111000000000000000000000000000001 +0 40 0001000000000000001000001111111111000000000000000000000000000001 +0 39 0001000000000000001000001111111111000000000000000000000000000001 +0 38 0001000000000000001000001111111111000000000000000000000000000001 +0 37 0001000000000000001000001111111111000000000000000000000000000001 +0 36 0001000000000000001000001111111111000000000000000000000000000001 +0 35 0001000000000000001000001111111111000000000000000000000000000001 +0 34 0001000000000000001000001111111111000000000000000000000000000001 +0 33 0001000000000000001000001111111111000000000000000000000000000001 +0 32 0001000000000000001000001111111111000000000000000000000000000001 +0 31 0001000000000000001000001111111111000000000000000000000000000001 +0 30 0001000000000000001000001111111111000000000000000000000000000001 +0 29 0001000000000000001000001111111111000000000000000000000000000001 +0 28 0001000000000000001000001111111111000000000000000000000000000001 +0 27 0001000000000000001000001111111111000000000000000000000000000001 +0 26 0001000000000000001000001111111111000000000000000000000000000001 +0 25 0001000000000000001000001111111111000000000000000000000000000001 +0 24 0001000000000000001000001111111111000000000000000000000000000001 +0 23 0001000000000000001000001111111111000000000000000000000000000001 +0 22 0001000000000000001000001111111111000000000000000000000000000001 +0 21 0001000000000000001000001111111111000000000000000000000000000001 +0 20 0001000000000000001000001111111111000000000000000000000000000001 +0 19 0001000000000000001000001111111111000000000000000000000000000001 +0 18 0001000000000000001000001111111111000000000000000000000000000001 +0 17 0001000000000000001000001111111111000000000000000000000000000001 +0 16 0001000000000000001000001111111111000000000000000000000000000001 +0 15 0001000000000000001000001111111111000000000000000000000000000001 +0 14 0001000000000000001000001111111111000000000000000000000000000001 +0 13 0001000000000000001000001111111111000000000000000000000000000001 +0 12 0001000000000000001000001111111111000000000000000000000000000001 +0 11 0001000000000000001000001111111111000000000000000000000000000001 +0 10 0001000000000000001000001111111111000000000000000000000000000001 +0 9 0001000000000000001000001111111111000000000000000000000000000001 +0 8 0001000000000000001000001111111111000000000000000000000000000001 +0 7 0001000000000000001000001111111111000000000000000000000000000001 +0 6 0001000000000000001000001111111111000000000000000000000000000001 +0 5 0001000000000000001000001111111111000000000000000000000000000001 +0 4 0001000000000000001000001111111111000000000000000000000000000001 +0 3 0001000000000000001000001111111111000000000000000000000000000001 +0 2 0001000000000000001000001111111111000000000000000000000000000001 +0 1 0001000000000000001000001111111111000000000000000000000000000001 +0 0 0001000000000000001000001111111111000000000000000000000000000001 +0 1023 0001000000000010110110111011111111000000000000000000000000000001 +0 1022 0001000000000010110110111011111111000000000000000000000000000001 +0 1021 0001000000000010110110111011111111000000000000000000000000000001 +0 1020 0001000000000010110110111011111111000000000000000000000000000001 +0 1019 0001000000000010110110111011111111000000000000000000000000000001 +0 1018 0001000000000010110110111011111111000000000000000000000000000001 +0 1017 0001000000000010110110111011111111000000000000000000000000000001 +0 1016 0001000000000010110110111011111111000000000000000000000000000001 +0 1015 0001000000000010110110111011111111000000000000000000000000000001 +0 1014 0001000000000010110110111011111111000000000000000000000000000001 +0 1013 0001000000000010110110111011111111000000000000000000000000000001 +0 1012 0001000000000010110110111011111111000000000000000000000000000001 +0 1011 0001000000000010110110111011111111000000000000000000000000000001 +0 1010 0001000000000010110110111011111111000000000000000000000000000001 +0 1009 0001000000000010110110111011111111000000000000000000000000000001 +0 1008 0001000000000010110110111011111111000000000000000000000000000001 +0 1007 0001000000000010110110111011111111000000000000000000000000000001 +0 1006 0001000000000010110110111011111111000000000000000000000000000001 +0 1005 0001000000000010110110111011111111000000000000000000000000000001 +0 1004 0001000000000010110110111011111111000000000000000000000000000001 +0 1003 0001000000000010110110111011111111000000000000000000000000000001 +0 1002 0001000000000010110110111011111111000000000000000000000000000001 +0 1001 0001000000000010110110111011111111000000000000000000000000000001 +0 1000 0001000000000010110110111011111111000000000000000000000000000001 +0 999 0001000000000010110110111011111111000000000000000000000000000001 +0 998 0001000000000010110110111011111111000000000000000000000000000001 +0 997 0001000000000010110110111011111111000000000000000000000000000001 +0 996 0001000000000010110110111011111111000000000000000000000000000001 +0 995 0001000000000010110110111011111111000000000000000000000000000001 +0 994 0001000000000010110110111011111111000000000000000000000000000001 +0 993 0001000000000010110110111011111111000000000000000000000000000001 +0 992 0001000000000010110110111011111111000000000000000000000000000001 +0 991 0001000000000010110110111011111111000000000000000000000000000001 +0 990 0001000000000010110110111011111111000000000000000000000000000001 +0 989 0001000000000010110110111011111111000000000000000000000000000001 +0 988 0001000000000010110110111011111111000000000000000000000000000001 +0 987 0001000000000010110110111011111111000000000000000000000000000001 +0 986 0001000000000010110110111011111111000000000000000000000000000001 +0 985 0001000000000010110110111011111111000000000000000000000000000001 +0 984 0001000000000010110110111011111111000000000000000000000000000001 +0 983 0001000000000010110110111011111111000000000000000000000000000001 +0 982 0001000000000010110110111011111111000000000000000000000000000001 +0 981 0001000000000010110110111011111111000000000000000000000000000001 +0 980 0001000000000010110110111011111111000000000000000000000000000001 +0 979 0001000000000010110110111011111111000000000000000000000000000001 +0 978 0001000000000010110110111011111111000000000000000000000000000001 +0 977 0001000000000010110110111011111111000000000000000000000000000001 +0 976 0001000000000010110110111011111111000000000000000000000000000001 +0 975 0001000000000010110110111011111111000000000000000000000000000001 +0 974 0001000000000010110110111011111111000000000000000000000000000001 +0 973 0001000000000010110110111011111111000000000000000000000000000001 +0 972 0001000000000010110110111011111111000000000000000000000000000001 +0 971 0001000000000010110110111011111111000000000000000000000000000001 +0 970 0001000000000010110110111011111111000000000000000000000000000001 +0 969 0001000000000010110110111011111111000000000000000000000000000001 +0 968 0001000000000010110110111011111111000000000000000000000000000001 +0 967 0001000000000010110110111011111111000000000000000000000000000001 +0 966 0001000000000010110110111011111111000000000000000000000000000001 +0 965 0001000000000010110110111011111111000000000000000000000000000001 +0 964 0001000000000010110110111011111111000000000000000000000000000001 +0 963 0001000000000010110110111011111111000000000000000000000000000001 +0 962 0001000000000010110110111011111111000000000000000000000000000001 +0 961 0001000000000010110110111011111111000000000000000000000000000001 +0 960 0001000000000010110110111011111111000000000000000000000000000001 +0 959 0001000000000010110110111011111111000000000000000000000000000001 +0 958 0001000000000010110110111011111111000000000000000000000000000001 +0 957 0001000000000010110110111011111111000000000000000000000000000001 +0 956 0001000000000010110110111011111111000000000000000000000000000001 +0 955 0001000000000010110110111011111111000000000000000000000000000001 +0 954 0001000000000010110110111011111111000000000000000000000000000001 +0 953 0001000000000010110110111011111111000000000000000000000000000001 +0 952 0001000000000010110110111011111111000000000000000000000000000001 +0 951 0001000000000010110110111011111111000000000000000000000000000001 +0 950 0001000000000010110110111011111111000000000000000000000000000001 +0 949 0001000000000010110110111011111111000000000000000000000000000001 +0 948 0001000000000010110110111011111111000000000000000000000000000001 +0 947 0001000000000010110110111011111111000000000000000000000000000001 +0 946 0001000000000010110110111011111111000000000000000000000000000001 +0 945 0001000000000010110110111011111111000000000000000000000000000001 +0 944 0001000000000010110110111011111111000000000000000000000000000001 +0 943 0001000000000010110110111011111111000000000000000000000000000001 +0 942 0001000000000010110110111011111111000000000000000000000000000001 +0 941 0001000000000010110110111011111111000000000000000000000000000001 +0 940 0001000000000010110110111011111111000000000000000000000000000001 +0 939 0001000000000010110110111011111111000000000000000000000000000001 +0 938 0001000000000010110110111011111111000000000000000000000000000001 +0 937 0001000000000010110110111011111111000000000000000000000000000001 +0 936 0001000000000010110110111011111111000000000000000000000000000001 +0 935 0001000000000010110110111011111111000000000000000000000000000001 +0 934 0001000000000010110110111011111111000000000000000000000000000001 +0 933 0001000000000010110110111011111111000000000000000000000000000001 +0 932 0001000000000010110110111011111111000000000000000000000000000001 +0 931 0001000000000010110110111011111111000000000000000000000000000001 +0 930 0001000000000010110110111011111111000000000000000000000000000001 +0 929 0001000000000010110110111011111111000000000000000000000000000001 +0 928 0001000000000010110110111011111111000000000000000000000000000001 +0 927 0001000000000010110110111011111111000000000000000000000000000001 +0 926 0001000000000010110110111011111111000000000000000000000000000001 +0 925 0001000000000010110110111011111111000000000000000000000000000001 +0 924 0001000000000010110110111011111111000000000000000000000000000001 +0 923 0001000000000010110110111011111111000000000000000000000000000001 +0 922 0001000000000010110110111011111111000000000000000000000000000001 +0 921 0001000000000010110110111011111111000000000000000000000000000001 +0 920 0001000000000010110110111011111111000000000000000000000000000001 +0 919 0001000000000010110110111011111111000000000000000000000000000001 +0 918 0001000000000010110110111011111111000000000000000000000000000001 +0 917 0001000000000010110110111011111111000000000000000000000000000001 +0 916 0001000000000010110110111011111111000000000000000000000000000001 +0 915 0001000000000010110110111011111111000000000000000000000000000001 +0 914 0001000000000010110110111011111111000000000000000000000000000001 +0 913 0001000000000010110110111011111111000000000000000000000000000001 +0 912 0001000000000010110110111011111111000000000000000000000000000001 +0 911 0001000000000010110110111011111111000000000000000000000000000001 +0 910 0001000000000010110110111011111111000000000000000000000000000001 +0 909 0001000000000010110110111011111111000000000000000000000000000001 +0 908 0001000000000010110110111011111111000000000000000000000000000001 +0 907 0001000000000010110110111011111111000000000000000000000000000001 +0 906 0001000000000010110110111011111111000000000000000000000000000001 +0 905 0001000000000010110110111011111111000000000000000000000000000001 +0 904 0001000000000010110110111011111111000000000000000000000000000001 +0 903 0001000000000010110110111011111111000000000000000000000000000001 +0 902 0001000000000010110110111011111111000000000000000000000000000001 +0 901 0001000000000010110110111011111111000000000000000000000000000001 +0 900 0001000000000010110110111011111111000000000000000000000000000001 +0 899 0001000000000010110110111011111111000000000000000000000000000001 +0 898 0001000000000010110110111011111111000000000000000000000000000001 +0 897 0001000000000010110110111011111111000000000000000000000000000001 +0 896 0001000000000010110110111011111111000000000000000000000000000001 +0 767 0001000000000010110110111011111111000000000000000000000000000001 +0 766 0001000000000010110110111011111111000000000000000000000000000001 +0 765 0001000000000010110110111011111111000000000000000000000000000001 +0 764 0001000000000010110110111011111111000000000000000000000000000001 +0 763 0001000000000010110110111011111111000000000000000000000000000001 +0 762 0001000000000010110110111011111111000000000000000000000000000001 +0 761 0001000000000010110110111011111111000000000000000000000000000001 +0 760 0001000000000010110110111011111111000000000000000000000000000001 +0 759 0001000000000010110110111011111111000000000000000000000000000001 +0 758 0001000000000010110110111011111111000000000000000000000000000001 +0 757 0001000000000010110110111011111111000000000000000000000000000001 +0 756 0001000000000010110110111011111111000000000000000000000000000001 +0 755 0001000000000010110110111011111111000000000000000000000000000001 +0 754 0001000000000010110110111011111111000000000000000000000000000001 +0 753 0001000000000010110110111011111111000000000000000000000000000001 +0 752 0001000000000010110110111011111111000000000000000000000000000001 +0 751 0001000000000010110110111011111111000000000000000000000000000001 +0 750 0001000000000010110110111011111111000000000000000000000000000001 +0 749 0001000000000010110110111011111111000000000000000000000000000001 +0 748 0001000000000010110110111011111111000000000000000000000000000001 +0 747 0001000000000010110110111011111111000000000000000000000000000001 +0 746 0001000000000010110110111011111111000000000000000000000000000001 +0 745 0001000000000010110110111011111111000000000000000000000000000001 +0 744 0001000000000010110110111011111111000000000000000000000000000001 +0 743 0001000000000010110110111011111111000000000000000000000000000001 +0 742 0001000000000010110110111011111111000000000000000000000000000001 +0 741 0001000000000010110110111011111111000000000000000000000000000001 +0 740 0001000000000010110110111011111111000000000000000000000000000001 +0 739 0001000000000010110110111011111111000000000000000000000000000001 +0 738 0001000000000010110110111011111111000000000000000000000000000001 +0 737 0001000000000010110110111011111111000000000000000000000000000001 +0 736 0001000000000010110110111011111111000000000000000000000000000001 +0 735 0001000000000010110110111011111111000000000000000000000000000001 +0 734 0001000000000010110110111011111111000000000000000000000000000001 +0 733 0001000000000010110110111011111111000000000000000000000000000001 +0 732 0001000000000010110110111011111111000000000000000000000000000001 +0 731 0001000000000010110110111011111111000000000000000000000000000001 +0 730 0001000000000010110110111011111111000000000000000000000000000001 +0 729 0001000000000010110110111011111111000000000000000000000000000001 +0 728 0001000000000010110110111011111111000000000000000000000000000001 +0 727 0001000000000010110110111011111111000000000000000000000000000001 +0 726 0001000000000010110110111011111111000000000000000000000000000001 +0 725 0001000000000010110110111011111111000000000000000000000000000001 +0 724 0001000000000010110110111011111111000000000000000000000000000001 +0 723 0001000000000010110110111011111111000000000000000000000000000001 +0 722 0001000000000010110110111011111111000000000000000000000000000001 +0 721 0001000000000010110110111011111111000000000000000000000000000001 +0 720 0001000000000010110110111011111111000000000000000000000000000001 +0 719 0001000000000010110110111011111111000000000000000000000000000001 +0 718 0001000000000010110110111011111111000000000000000000000000000001 +0 717 0001000000000010110110111011111111000000000000000000000000000001 +0 716 0001000000000010110110111011111111000000000000000000000000000001 +0 715 0001000000000010110110111011111111000000000000000000000000000001 +0 714 0001000000000010110110111011111111000000000000000000000000000001 +0 713 0001000000000010110110111011111111000000000000000000000000000001 +0 712 0001000000000010110110111011111111000000000000000000000000000001 +0 711 0001000000000010110110111011111111000000000000000000000000000001 +0 710 0001000000000010110110111011111111000000000000000000000000000001 +0 709 0001000000000010110110111011111111000000000000000000000000000001 +0 708 0001000000000010110110111011111111000000000000000000000000000001 +0 707 0001000000000010110110111011111111000000000000000000000000000001 +0 706 0001000000000010110110111011111111000000000000000000000000000001 +0 705 0001000000000010110110111011111111000000000000000000000000000001 +0 704 0001000000000010110110111011111111000000000000000000000000000001 +0 703 0001000000000010110110111011111111000000000000000000000000000001 +0 702 0001000000000010110110111011111111000000000000000000000000000001 +0 701 0001000000000010110110111011111111000000000000000000000000000001 +0 700 0001000000000010110110111011111111000000000000000000000000000001 +0 699 0001000000000010110110111011111111000000000000000000000000000001 +0 698 0001000000000010110110111011111111000000000000000000000000000001 +0 697 0001000000000010110110111011111111000000000000000000000000000001 +0 696 0001000000000010110110111011111111000000000000000000000000000001 +0 695 0001000000000010110110111011111111000000000000000000000000000001 +0 694 0001000000000010110110111011111111000000000000000000000000000001 +0 693 0001000000000010110110111011111111000000000000000000000000000001 +0 692 0001000000000010110110111011111111000000000000000000000000000001 +0 691 0001000000000010110110111011111111000000000000000000000000000001 +0 690 0001000000000010110110111011111111000000000000000000000000000001 +0 689 0001000000000010110110111011111111000000000000000000000000000001 +0 688 0001000000000010110110111011111111000000000000000000000000000001 +0 687 0001000000000010110110111011111111000000000000000000000000000001 +0 686 0001000000000010110110111011111111000000000000000000000000000001 +0 685 0001000000000010110110111011111111000000000000000000000000000001 +0 684 0001000000000010110110111011111111000000000000000000000000000001 +0 683 0001000000000010110110111011111111000000000000000000000000000001 +0 682 0001000000000010110110111011111111000000000000000000000000000001 +0 681 0001000000000010110110111011111111000000000000000000000000000001 +0 680 0001000000000010110110111011111111000000000000000000000000000001 +0 679 0001000000000010110110111011111111000000000000000000000000000001 +0 678 0001000000000010110110111011111111000000000000000000000000000001 +0 677 0001000000000010110110111011111111000000000000000000000000000001 +0 676 0001000000000010110110111011111111000000000000000000000000000001 +0 675 0001000000000010110110111011111111000000000000000000000000000001 +0 674 0001000000000010110110111011111111000000000000000000000000000001 +0 673 0001000000000010110110111011111111000000000000000000000000000001 +0 672 0001000000000010110110111011111111000000000000000000000000000001 +0 671 0001000000000010110110111011111111000000000000000000000000000001 +0 670 0001000000000010110110111011111111000000000000000000000000000001 +0 669 0001000000000010110110111011111111000000000000000000000000000001 +0 668 0001000000000010110110111011111111000000000000000000000000000001 +0 667 0001000000000010110110111011111111000000000000000000000000000001 +0 666 0001000000000010110110111011111111000000000000000000000000000001 +0 665 0001000000000010110110111011111111000000000000000000000000000001 +0 664 0001000000000010110110111011111111000000000000000000000000000001 +0 663 0001000000000010110110111011111111000000000000000000000000000001 +0 662 0001000000000010110110111011111111000000000000000000000000000001 +0 661 0001000000000010110110111011111111000000000000000000000000000001 +0 660 0001000000000010110110111011111111000000000000000000000000000001 +0 659 0001000000000010110110111011111111000000000000000000000000000001 +0 658 0001000000000010110110111011111111000000000000000000000000000001 +0 657 0001000000000010110110111011111111000000000000000000000000000001 +0 656 0001000000000010110110111011111111000000000000000000000000000001 +0 655 0001000000000010110110111011111111000000000000000000000000000001 +0 654 0001000000000010110110111011111111000000000000000000000000000001 +0 653 0001000000000010110110111011111111000000000000000000000000000001 +0 652 0001000000000010110110111011111111000000000000000000000000000001 +0 651 0001000000000010110110111011111111000000000000000000000000000001 +0 650 0001000000000010110110111011111111000000000000000000000000000001 +0 649 0001000000000010110110111011111111000000000000000000000000000001 +0 648 0001000000000010110110111011111111000000000000000000000000000001 +0 647 0001000000000010110110111011111111000000000000000000000000000001 +0 646 0001000000000010110110111011111111000000000000000000000000000001 +0 645 0001000000000010110110111011111111000000000000000000000000000001 +0 644 0001000000000010110110111011111111000000000000000000000000000001 +0 643 0001000000000010110110111011111111000000000000000000000000000001 +0 642 0001000000000010110110111011111111000000000000000000000000000001 +0 641 0001000000000010110110111011111111000000000000000000000000000001 +0 640 0001000000000010110110111011111111000000000000000000000000000001 +0 511 0001000000000010110110111011111111000000000000000000000000000001 +0 510 0001000000000010110110111011111111000000000000000000000000000001 +0 509 0001000000000010110110111011111111000000000000000000000000000001 +0 508 0001000000000010110110111011111111000000000000000000000000000001 +0 507 0001000000000010110110111011111111000000000000000000000000000001 +0 506 0001000000000010110110111011111111000000000000000000000000000001 +0 505 0001000000000010110110111011111111000000000000000000000000000001 +0 504 0001000000000010110110111011111111000000000000000000000000000001 +0 503 0001000000000010110110111011111111000000000000000000000000000001 +0 502 0001000000000010110110111011111111000000000000000000000000000001 +0 501 0001000000000010110110111011111111000000000000000000000000000001 +0 500 0001000000000010110110111011111111000000000000000000000000000001 +0 499 0001000000000010110110111011111111000000000000000000000000000001 +0 498 0001000000000010110110111011111111000000000000000000000000000001 +0 497 0001000000000010110110111011111111000000000000000000000000000001 +0 496 0001000000000010110110111011111111000000000000000000000000000001 +0 495 0001000000000010110110111011111111000000000000000000000000000001 +0 494 0001000000000010110110111011111111000000000000000000000000000001 +0 493 0001000000000010110110111011111111000000000000000000000000000001 +0 492 0001000000000010110110111011111111000000000000000000000000000001 +0 491 0001000000000010110110111011111111000000000000000000000000000001 +0 490 0001000000000010110110111011111111000000000000000000000000000001 +0 489 0001000000000010110110111011111111000000000000000000000000000001 +0 488 0001000000000010110110111011111111000000000000000000000000000001 +0 487 0001000000000010110110111011111111000000000000000000000000000001 +0 486 0001000000000010110110111011111111000000000000000000000000000001 +0 485 0001000000000010110110111011111111000000000000000000000000000001 +0 484 0001000000000010110110111011111111000000000000000000000000000001 +0 483 0001000000000010110110111011111111000000000000000000000000000001 +0 482 0001000000000010110110111011111111000000000000000000000000000001 +0 481 0001000000000010110110111011111111000000000000000000000000000001 +0 480 0001000000000010110110111011111111000000000000000000000000000001 +0 479 0001000000000010110110111011111111000000000000000000000000000001 +0 478 0001000000000010110110111011111111000000000000000000000000000001 +0 477 0001000000000010110110111011111111000000000000000000000000000001 +0 476 0001000000000010110110111011111111000000000000000000000000000001 +0 475 0001000000000010110110111011111111000000000000000000000000000001 +0 474 0001000000000010110110111011111111000000000000000000000000000001 +0 473 0001000000000010110110111011111111000000000000000000000000000001 +0 472 0001000000000010110110111011111111000000000000000000000000000001 +0 471 0001000000000010110110111011111111000000000000000000000000000001 +0 470 0001000000000010110110111011111111000000000000000000000000000001 +0 469 0001000000000010110110111011111111000000000000000000000000000001 +0 468 0001000000000010110110111011111111000000000000000000000000000001 +0 467 0001000000000010110110111011111111000000000000000000000000000001 +0 466 0001000000000010110110111011111111000000000000000000000000000001 +0 465 0001000000000010110110111011111111000000000000000000000000000001 +0 464 0001000000000010110110111011111111000000000000000000000000000001 +0 463 0001000000000010110110111011111111000000000000000000000000000001 +0 462 0001000000000010110110111011111111000000000000000000000000000001 +0 461 0001000000000010110110111011111111000000000000000000000000000001 +0 460 0001000000000010110110111011111111000000000000000000000000000001 +0 459 0001000000000010110110111011111111000000000000000000000000000001 +0 458 0001000000000010110110111011111111000000000000000000000000000001 +0 457 0001000000000010110110111011111111000000000000000000000000000001 +0 456 0001000000000010110110111011111111000000000000000000000000000001 +0 455 0001000000000010110110111011111111000000000000000000000000000001 +0 454 0001000000000010110110111011111111000000000000000000000000000001 +0 453 0001000000000010110110111011111111000000000000000000000000000001 +0 452 0001000000000010110110111011111111000000000000000000000000000001 +0 451 0001000000000010110110111011111111000000000000000000000000000001 +0 450 0001000000000010110110111011111111000000000000000000000000000001 +0 449 0001000000000010110110111011111111000000000000000000000000000001 +0 448 0001000000000010110110111011111111000000000000000000000000000001 +0 447 0001000000000010110110111011111111000000000000000000000000000001 +0 446 0001000000000010110110111011111111000000000000000000000000000001 +0 445 0001000000000010110110111011111111000000000000000000000000000001 +0 444 0001000000000010110110111011111111000000000000000000000000000001 +0 443 0001000000000010110110111011111111000000000000000000000000000001 +0 442 0001000000000010110110111011111111000000000000000000000000000001 +0 441 0001000000000010110110111011111111000000000000000000000000000001 +0 440 0001000000000010110110111011111111000000000000000000000000000001 +0 439 0001000000000010110110111011111111000000000000000000000000000001 +0 438 0001000000000010110110111011111111000000000000000000000000000001 +0 437 0001000000000010110110111011111111000000000000000000000000000001 +0 436 0001000000000010110110111011111111000000000000000000000000000001 +0 435 0001000000000010110110111011111111000000000000000000000000000001 +0 434 0001000000000010110110111011111111000000000000000000000000000001 +0 433 0001000000000010110110111011111111000000000000000000000000000001 +0 432 0001000000000010110110111011111111000000000000000000000000000001 +0 431 0001000000000010110110111011111111000000000000000000000000000001 +0 430 0001000000000010110110111011111111000000000000000000000000000001 +0 429 0001000000000010110110111011111111000000000000000000000000000001 +0 428 0001000000000010110110111011111111000000000000000000000000000001 +0 427 0001000000000010110110111011111111000000000000000000000000000001 +0 426 0001000000000010110110111011111111000000000000000000000000000001 +0 425 0001000000000010110110111011111111000000000000000000000000000001 +0 424 0001000000000010110110111011111111000000000000000000000000000001 +0 423 0001000000000010110110111011111111000000000000000000000000000001 +0 422 0001000000000010110110111011111111000000000000000000000000000001 +0 421 0001000000000010110110111011111111000000000000000000000000000001 +0 420 0001000000000010110110111011111111000000000000000000000000000001 +0 419 0001000000000010110110111011111111000000000000000000000000000001 +0 418 0001000000000010110110111011111111000000000000000000000000000001 +0 417 0001000000000010110110111011111111000000000000000000000000000001 +0 416 0001000000000010110110111011111111000000000000000000000000000001 +0 415 0001000000000010110110111011111111000000000000000000000000000001 +0 414 0001000000000010110110111011111111000000000000000000000000000001 +0 413 0001000000000010110110111011111111000000000000000000000000000001 +0 412 0001000000000010110110111011111111000000000000000000000000000001 +0 411 0001000000000010110110111011111111000000000000000000000000000001 +0 410 0001000000000010110110111011111111000000000000000000000000000001 +0 409 0001000000000010110110111011111111000000000000000000000000000001 +0 408 0001000000000010110110111011111111000000000000000000000000000001 +0 407 0001000000000010110110111011111111000000000000000000000000000001 +0 406 0001000000000010110110111011111111000000000000000000000000000001 +0 405 0001000000000010110110111011111111000000000000000000000000000001 +0 404 0001000000000010110110111011111111000000000000000000000000000001 +0 403 0001000000000010110110111011111111000000000000000000000000000001 +0 402 0001000000000010110110111011111111000000000000000000000000000001 +0 401 0001000000000010110110111011111111000000000000000000000000000001 +0 400 0001000000000010110110111011111111000000000000000000000000000001 +0 399 0001000000000010110110111011111111000000000000000000000000000001 +0 398 0001000000000010110110111011111111000000000000000000000000000001 +0 397 0001000000000010110110111011111111000000000000000000000000000001 +0 396 0001000000000010110110111011111111000000000000000000000000000001 +0 395 0001000000000010110110111011111111000000000000000000000000000001 +0 394 0001000000000010110110111011111111000000000000000000000000000001 +0 393 0001000000000010110110111011111111000000000000000000000000000001 +0 392 0001000000000010110110111011111111000000000000000000000000000001 +0 391 0001000000000010110110111011111111000000000000000000000000000001 +0 390 0001000000000010110110111011111111000000000000000000000000000001 +0 389 0001000000000010110110111011111111000000000000000000000000000001 +0 388 0001000000000010110110111011111111000000000000000000000000000001 +0 387 0001000000000010110110111011111111000000000000000000000000000001 +0 386 0001000000000010110110111011111111000000000000000000000000000001 +0 385 0001000000000010110110111011111111000000000000000000000000000001 +0 384 0001000000000010110110111011111111000000000000000000000000000001 +0 255 0001000000000010110110111011111111000000000000000000000000000001 +0 254 0001000000000010110110111011111111000000000000000000000000000001 +0 253 0001000000000010110110111011111111000000000000000000000000000001 +0 252 0001000000000010110110111011111111000000000000000000000000000001 +0 251 0001000000000010110110111011111111000000000000000000000000000001 +0 250 0001000000000010110110111011111111000000000000000000000000000001 +0 249 0001000000000010110110111011111111000000000000000000000000000001 +0 248 0001000000000010110110111011111111000000000000000000000000000001 +0 247 0001000000000010110110111011111111000000000000000000000000000001 +0 246 0001000000000010110110111011111111000000000000000000000000000001 +0 245 0001000000000010110110111011111111000000000000000000000000000001 +0 244 0001000000000010110110111011111111000000000000000000000000000001 +0 243 0001000000000010110110111011111111000000000000000000000000000001 +0 242 0001000000000010110110111011111111000000000000000000000000000001 +0 241 0001000000000010110110111011111111000000000000000000000000000001 +0 240 0001000000000010110110111011111111000000000000000000000000000001 +0 239 0001000000000010110110111011111111000000000000000000000000000001 +0 238 0001000000000010110110111011111111000000000000000000000000000001 +0 237 0001000000000010110110111011111111000000000000000000000000000001 +0 236 0001000000000010110110111011111111000000000000000000000000000001 +0 235 0001000000000010110110111011111111000000000000000000000000000001 +0 234 0001000000000010110110111011111111000000000000000000000000000001 +0 233 0001000000000010110110111011111111000000000000000000000000000001 +0 232 0001000000000010110110111011111111000000000000000000000000000001 +0 231 0001000000000010110110111011111111000000000000000000000000000001 +0 230 0001000000000010110110111011111111000000000000000000000000000001 +0 229 0001000000000010110110111011111111000000000000000000000000000001 +0 228 0001000000000010110110111011111111000000000000000000000000000001 +0 227 0001000000000010110110111011111111000000000000000000000000000001 +0 226 0001000000000010110110111011111111000000000000000000000000000001 +0 225 0001000000000010110110111011111111000000000000000000000000000001 +0 224 0001000000000010110110111011111111000000000000000000000000000001 +0 223 0001000000000010110110111011111111000000000000000000000000000001 +0 222 0001000000000010110110111011111111000000000000000000000000000001 +0 221 0001000000000010110110111011111111000000000000000000000000000001 +0 220 0001000000000010110110111011111111000000000000000000000000000001 +0 219 0001000000000010110110111011111111000000000000000000000000000001 +0 218 0001000000000010110110111011111111000000000000000000000000000001 +0 217 0001000000000010110110111011111111000000000000000000000000000001 +0 216 0001000000000010110110111011111111000000000000000000000000000001 +0 215 0001000000000010110110111011111111000000000000000000000000000001 +0 214 0001000000000010110110111011111111000000000000000000000000000001 +0 213 0001000000000010110110111011111111000000000000000000000000000001 +0 212 0001000000000010110110111011111111000000000000000000000000000001 +0 211 0001000000000010110110111011111111000000000000000000000000000001 +0 210 0001000000000010110110111011111111000000000000000000000000000001 +0 209 0001000000000010110110111011111111000000000000000000000000000001 +0 208 0001000000000010110110111011111111000000000000000000000000000001 +0 207 0001000000000010110110111011111111000000000000000000000000000001 +0 206 0001000000000010110110111011111111000000000000000000000000000001 +0 205 0001000000000010110110111011111111000000000000000000000000000001 +0 204 0001000000000010110110111011111111000000000000000000000000000001 +0 203 0001000000000010110110111011111111000000000000000000000000000001 +0 202 0001000000000010110110111011111111000000000000000000000000000001 +0 201 0001000000000010110110111011111111000000000000000000000000000001 +0 200 0001000000000010110110111011111111000000000000000000000000000001 +0 199 0001000000000010110110111011111111000000000000000000000000000001 +0 198 0001000000000010110110111011111111000000000000000000000000000001 +0 197 0001000000000010110110111011111111000000000000000000000000000001 +0 196 0001000000000010110110111011111111000000000000000000000000000001 +0 195 0001000000000010110110111011111111000000000000000000000000000001 +0 194 0001000000000010110110111011111111000000000000000000000000000001 +0 193 0001000000000010110110111011111111000000000000000000000000000001 +0 192 0001000000000010110110111011111111000000000000000000000000000001 +0 191 0001000000000010110110111011111111000000000000000000000000000001 +0 190 0001000000000010110110111011111111000000000000000000000000000001 +0 189 0001000000000010110110111011111111000000000000000000000000000001 +0 188 0001000000000010110110111011111111000000000000000000000000000001 +0 187 0001000000000010110110111011111111000000000000000000000000000001 +0 186 0001000000000010110110111011111111000000000000000000000000000001 +0 185 0001000000000010110110111011111111000000000000000000000000000001 +0 184 0001000000000010110110111011111111000000000000000000000000000001 +0 183 0001000000000010110110111011111111000000000000000000000000000001 +0 182 0001000000000010110110111011111111000000000000000000000000000001 +0 181 0001000000000010110110111011111111000000000000000000000000000001 +0 180 0001000000000010110110111011111111000000000000000000000000000001 +0 179 0001000000000010110110111011111111000000000000000000000000000001 +0 178 0001000000000010110110111011111111000000000000000000000000000001 +0 177 0001000000000010110110111011111111000000000000000000000000000001 +0 176 0001000000000010110110111011111111000000000000000000000000000001 +0 175 0001000000000010110110111011111111000000000000000000000000000001 +0 174 0001000000000010110110111011111111000000000000000000000000000001 +0 173 0001000000000010110110111011111111000000000000000000000000000001 +0 172 0001000000000010110110111011111111000000000000000000000000000001 +0 171 0001000000000010110110111011111111000000000000000000000000000001 +0 170 0001000000000010110110111011111111000000000000000000000000000001 +0 169 0001000000000010110110111011111111000000000000000000000000000001 +0 168 0001000000000010110110111011111111000000000000000000000000000001 +0 167 0001000000000010110110111011111111000000000000000000000000000001 +0 166 0001000000000010110110111011111111000000000000000000000000000001 +0 165 0001000000000010110110111011111111000000000000000000000000000001 +0 164 0001000000000010110110111011111111000000000000000000000000000001 +0 163 0001000000000010110110111011111111000000000000000000000000000001 +0 162 0001000000000010110110111011111111000000000000000000000000000001 +0 161 0001000000000010110110111011111111000000000000000000000000000001 +0 160 0001000000000010110110111011111111000000000000000000000000000001 +0 159 0001000000000010110110111011111111000000000000000000000000000001 +0 158 0001000000000010110110111011111111000000000000000000000000000001 +0 157 0001000000000010110110111011111111000000000000000000000000000001 +0 156 0001000000000010110110111011111111000000000000000000000000000001 +0 155 0001000000000010110110111011111111000000000000000000000000000001 +0 154 0001000000000010110110111011111111000000000000000000000000000001 +0 153 0001000000000010110110111011111111000000000000000000000000000001 +0 152 0001000000000010110110111011111111000000000000000000000000000001 +0 151 0001000000000010110110111011111111000000000000000000000000000001 +0 150 0001000000000010110110111011111111000000000000000000000000000001 +0 149 0001000000000010110110111011111111000000000000000000000000000001 +0 148 0001000000000010110110111011111111000000000000000000000000000001 +0 147 0001000000000010110110111011111111000000000000000000000000000001 +0 146 0001000000000010110110111011111111000000000000000000000000000001 +0 145 0001000000000010110110111011111111000000000000000000000000000001 +0 144 0001000000000010110110111011111111000000000000000000000000000001 +0 143 0001000000000010110110111011111111000000000000000000000000000001 +0 142 0001000000000010110110111011111111000000000000000000000000000001 +0 141 0001000000000010110110111011111111000000000000000000000000000001 +0 140 0001000000000010110110111011111111000000000000000000000000000001 +0 139 0001000000000010110110111011111111000000000000000000000000000001 +0 138 0001000000000010110110111011111111000000000000000000000000000001 +0 137 0001000000000010110110111011111111000000000000000000000000000001 +0 136 0001000000000010110110111011111111000000000000000000000000000001 +0 135 0001000000000010110110111011111111000000000000000000000000000001 +0 134 0001000000000010110110111011111111000000000000000000000000000001 +0 133 0001000000000010110110111011111111000000000000000000000000000001 +0 132 0001000000000010110110111011111111000000000000000000000000000001 +0 131 0001000000000010110110111011111111000000000000000000000000000001 +0 130 0001000000000010110110111011111111000000000000000000000000000001 +0 129 0001000000000010110110111011111111000000000000000000000000000001 +0 128 0001000000000010110110111011111111000000000000000000000000000001 +0 75 0001000000000011100101100000000000000000000000000000000000000001 diff --git a/test/scripts/validate_results/simulation.log b/test/scripts/validate_results/simulation.log index e69fb58..05b1b3a 100644 --- a/test/scripts/validate_results/simulation.log +++ b/test/scripts/validate_results/simulation.log @@ -1,65 +1,1072 @@ -0 221 0001000000000010101100000000000000000000000000000000000000000001 -0 145 0001000000000010100110001011110010000000000000000000000000000001 -0 147 0001000000000010100110001011110010000000000000000000000000000001 -0 149 0001000000000010100110001011110010000000000000000000000000000001 -0 151 0001000000000010100110001011110010000000000000000000000000000001 -0 153 0001000000000010100110001011110010000000000000000000000000000001 -0 155 0001000000000010100110001011110010000000000000000000000000000001 -0 157 0001000000000010100110001011110010000000000000000000000000000001 -0 159 0001000000000010100110001011110010000000000000000000000000000001 -0 177 0001000000000010100110001011110010000000000000000000000000000001 -0 179 0001000000000010100110001011110010000000000000000000000000000001 -0 181 0001000000000010100110001011110010000000000000000000000000000001 -0 183 0001000000000010100110001011110010000000000000000000000000000001 -0 185 0001000000000010100110001011110010000000000000000000000000000001 -0 187 0001000000000010100110001011110010000000000000000000000000000001 -0 189 0001000000000010100110001011110010000000000000000000000000000001 -0 191 0001000000000010100110001011110010000000000000000000000000000001 -0 401 0001000000000010100110001011110010000000000000000000000000000001 -0 403 0001000000000010100110001011110010000000000000000000000000000001 -0 405 0001000000000010100110001011110010000000000000000000000000000001 -0 407 0001000000000010100110001011110010000000000000000000000000000001 -0 409 0001000000000010100110001011110010000000000000000000000000000001 -0 411 0001000000000010100110001011110010000000000000000000000000000001 -0 413 0001000000000010100110001011110010000000000000000000000000000001 -0 415 0001000000000010100110001011110010000000000000000000000000000001 -0 433 0001000000000010100110001011110010000000000000000000000000000001 -0 435 0001000000000010100110001011110010000000000000000000000000000001 -0 437 0001000000000010100110001011110010000000000000000000000000000001 -0 439 0001000000000010100110001011110010000000000000000000000000000001 -0 441 0001000000000010100110001011110010000000000000000000000000000001 -0 443 0001000000000010100110001011110010000000000000000000000000000001 -0 445 0001000000000010100110001011110010000000000000000000000000000001 -0 447 0001000000000010100110001011110010000000000000000000000000000001 -0 657 0001000000000010100110001011110010000000000000000000000000000001 -0 659 0001000000000010100110001011110010000000000000000000000000000001 -0 661 0001000000000010100110001011110010000000000000000000000000000001 -0 663 0001000000000010100110001011110010000000000000000000000000000001 -0 665 0001000000000010100110001011110010000000000000000000000000000001 -0 667 0001000000000010100110001011110010000000000000000000000000000001 -0 669 0001000000000010100110001011110010000000000000000000000000000001 -0 671 0001000000000010100110001011110010000000000000000000000000000001 -0 689 0001000000000010100110001011110010000000000000000000000000000001 -0 691 0001000000000010100110001011110010000000000000000000000000000001 -0 693 0001000000000010100110001011110010000000000000000000000000000001 -0 695 0001000000000010100110001011110010000000000000000000000000000001 -0 697 0001000000000010100110001011110010000000000000000000000000000001 -0 699 0001000000000010100110001011110010000000000000000000000000000001 -0 701 0001000000000010100110001011110010000000000000000000000000000001 -0 703 0001000000000010100110001011110010000000000000000000000000000001 -0 913 0001000000000010100110001011110010000000000000000000000000000001 -0 915 0001000000000010100110001011110010000000000000000000000000000001 -0 917 0001000000000010100110001011110010000000000000000000000000000001 -0 919 0001000000000010100110001011110010000000000000000000000000000001 -0 921 0001000000000010100110001011110010000000000000000000000000000001 -0 923 0001000000000010100110001011110010000000000000000000000000000001 -0 925 0001000000000010100110001011110010000000000000000000000000000001 -0 927 0001000000000010100110001011110010000000000000000000000000000001 -0 945 0001000000000010100110001011110010000000000000000000000000000001 -0 947 0001000000000010100110001011110010000000000000000000000000000001 -0 949 0001000000000010100110001011110010000000000000000000000000000001 -0 951 0001000000000010100110001011110010000000000000000000000000000001 -0 953 0001000000000010100110001011110010000000000000000000000000000001 -0 955 0001000000000010100110001011110010000000000000000000000000000001 -0 957 0001000000000010100110001011110010000000000000000000000000000001 -0 959 0001000000000010100110001011110010000000000000000000000000000001 +0 75 0001000000000011100101100000000000000000000000000000000000000001 +0 787 0001000000000001110010100000000000000000000000000000000000000001 +0 0 0001000000000000001000001111111111000000000000000000000000000001 +0 1 0001000000000000001000001111111111000000000000000000000000000001 +0 2 0001000000000000001000001111111111000000000000000000000000000001 +0 3 0001000000000000001000001111111111000000000000000000000000000001 +0 4 0001000000000000001000001111111111000000000000000000000000000001 +0 5 0001000000000000001000001111111111000000000000000000000000000001 +0 6 0001000000000000001000001111111111000000000000000000000000000001 +0 7 0001000000000000001000001111111111000000000000000000000000000001 +0 8 0001000000000000001000001111111111000000000000000000000000000001 +0 9 0001000000000000001000001111111111000000000000000000000000000001 +0 10 0001000000000000001000001111111111000000000000000000000000000001 +0 11 0001000000000000001000001111111111000000000000000000000000000001 +0 12 0001000000000000001000001111111111000000000000000000000000000001 +0 13 0001000000000000001000001111111111000000000000000000000000000001 +0 14 0001000000000000001000001111111111000000000000000000000000000001 +0 15 0001000000000000001000001111111111000000000000000000000000000001 +0 16 0001000000000000001000001111111111000000000000000000000000000001 +0 17 0001000000000000001000001111111111000000000000000000000000000001 +0 18 0001000000000000001000001111111111000000000000000000000000000001 +0 19 0001000000000000001000001111111111000000000000000000000000000001 +0 20 0001000000000000001000001111111111000000000000000000000000000001 +0 21 0001000000000000001000001111111111000000000000000000000000000001 +0 22 0001000000000000001000001111111111000000000000000000000000000001 +0 23 0001000000000000001000001111111111000000000000000000000000000001 +0 24 0001000000000000001000001111111111000000000000000000000000000001 +0 25 0001000000000000001000001111111111000000000000000000000000000001 +0 26 0001000000000000001000001111111111000000000000000000000000000001 +0 27 0001000000000000001000001111111111000000000000000000000000000001 +0 28 0001000000000000001000001111111111000000000000000000000000000001 +0 29 0001000000000000001000001111111111000000000000000000000000000001 +0 30 0001000000000000001000001111111111000000000000000000000000000001 +0 31 0001000000000000001000001111111111000000000000000000000000000001 +0 32 0001000000000000001000001111111111000000000000000000000000000001 +0 33 0001000000000000001000001111111111000000000000000000000000000001 +0 34 0001000000000000001000001111111111000000000000000000000000000001 +0 35 0001000000000000001000001111111111000000000000000000000000000001 +0 36 0001000000000000001000001111111111000000000000000000000000000001 +0 37 0001000000000000001000001111111111000000000000000000000000000001 +0 38 0001000000000000001000001111111111000000000000000000000000000001 +0 39 0001000000000000001000001111111111000000000000000000000000000001 +0 40 0001000000000000001000001111111111000000000000000000000000000001 +0 41 0001000000000000001000001111111111000000000000000000000000000001 +0 42 0001000000000000001000001111111111000000000000000000000000000001 +0 43 0001000000000000001000001111111111000000000000000000000000000001 +0 44 0001000000000000001000001111111111000000000000000000000000000001 +0 45 0001000000000000001000001111111111000000000000000000000000000001 +0 46 0001000000000000001000001111111111000000000000000000000000000001 +0 47 0001000000000000001000001111111111000000000000000000000000000001 +0 48 0001000000000000001000001111111111000000000000000000000000000001 +0 49 0001000000000000001000001111111111000000000000000000000000000001 +0 50 0001000000000000001000001111111111000000000000000000000000000001 +0 51 0001000000000000001000001111111111000000000000000000000000000001 +0 52 0001000000000000001000001111111111000000000000000000000000000001 +0 53 0001000000000000001000001111111111000000000000000000000000000001 +0 54 0001000000000000001000001111111111000000000000000000000000000001 +0 55 0001000000000000001000001111111111000000000000000000000000000001 +0 56 0001000000000000001000001111111111000000000000000000000000000001 +0 57 0001000000000000001000001111111111000000000000000000000000000001 +0 58 0001000000000000001000001111111111000000000000000000000000000001 +0 59 0001000000000000001000001111111111000000000000000000000000000001 +0 60 0001000000000000001000001111111111000000000000000000000000000001 +0 61 0001000000000000001000001111111111000000000000000000000000000001 +0 62 0001000000000000001000001111111111000000000000000000000000000001 +0 63 0001000000000000001000001111111111000000000000000000000000000001 +0 64 0001000000000000001000001111111111000000000000000000000000000001 +0 65 0001000000000000001000001111111111000000000000000000000000000001 +0 66 0001000000000000001000001111111111000000000000000000000000000001 +0 67 0001000000000000001000001111111111000000000000000000000000000001 +0 68 0001000000000000001000001111111111000000000000000000000000000001 +0 69 0001000000000000001000001111111111000000000000000000000000000001 +0 70 0001000000000000001000001111111111000000000000000000000000000001 +0 71 0001000000000000001000001111111111000000000000000000000000000001 +0 72 0001000000000000001000001111111111000000000000000000000000000001 +0 73 0001000000000000001000001111111111000000000000000000000000000001 +0 74 0001000000000000001000001111111111000000000000000000000000000001 +0 76 0001000000000000001000001111111111000000000000000000000000000001 +0 77 0001000000000000001000001111111111000000000000000000000000000001 +0 78 0001000000000000001000001111111111000000000000000000000000000001 +0 79 0001000000000000001000001111111111000000000000000000000000000001 +0 80 0001000000000000001000001111111111000000000000000000000000000001 +0 81 0001000000000000001000001111111111000000000000000000000000000001 +0 82 0001000000000000001000001111111111000000000000000000000000000001 +0 83 0001000000000000001000001111111111000000000000000000000000000001 +0 84 0001000000000000001000001111111111000000000000000000000000000001 +0 85 0001000000000000001000001111111111000000000000000000000000000001 +0 86 0001000000000000001000001111111111000000000000000000000000000001 +0 87 0001000000000000001000001111111111000000000000000000000000000001 +0 88 0001000000000000001000001111111111000000000000000000000000000001 +0 89 0001000000000000001000001111111111000000000000000000000000000001 +0 90 0001000000000000001000001111111111000000000000000000000000000001 +0 91 0001000000000000001000001111111111000000000000000000000000000001 +0 92 0001000000000000001000001111111111000000000000000000000000000001 +0 93 0001000000000000001000001111111111000000000000000000000000000001 +0 94 0001000000000000001000001111111111000000000000000000000000000001 +0 95 0001000000000000001000001111111111000000000000000000000000000001 +0 96 0001000000000000001000001111111111000000000000000000000000000001 +0 97 0001000000000000001000001111111111000000000000000000000000000001 +0 98 0001000000000000001000001111111111000000000000000000000000000001 +0 99 0001000000000000001000001111111111000000000000000000000000000001 +0 100 0001000000000000001000001111111111000000000000000000000000000001 +0 101 0001000000000000001000001111111111000000000000000000000000000001 +0 102 0001000000000000001000001111111111000000000000000000000000000001 +0 103 0001000000000000001000001111111111000000000000000000000000000001 +0 104 0001000000000000001000001111111111000000000000000000000000000001 +0 105 0001000000000000001000001111111111000000000000000000000000000001 +0 106 0001000000000000001000001111111111000000000000000000000000000001 +0 107 0001000000000000001000001111111111000000000000000000000000000001 +0 108 0001000000000000001000001111111111000000000000000000000000000001 +0 109 0001000000000000001000001111111111000000000000000000000000000001 +0 110 0001000000000000001000001111111111000000000000000000000000000001 +0 111 0001000000000000001000001111111111000000000000000000000000000001 +0 112 0001000000000000001000001111111111000000000000000000000000000001 +0 113 0001000000000000001000001111111111000000000000000000000000000001 +0 114 0001000000000000001000001111111111000000000000000000000000000001 +0 115 0001000000000000001000001111111111000000000000000000000000000001 +0 116 0001000000000000001000001111111111000000000000000000000000000001 +0 117 0001000000000000001000001111111111000000000000000000000000000001 +0 118 0001000000000000001000001111111111000000000000000000000000000001 +0 119 0001000000000000001000001111111111000000000000000000000000000001 +0 120 0001000000000000001000001111111111000000000000000000000000000001 +0 121 0001000000000000001000001111111111000000000000000000000000000001 +0 122 0001000000000000001000001111111111000000000000000000000000000001 +0 123 0001000000000000001000001111111111000000000000000000000000000001 +0 124 0001000000000000001000001111111111000000000000000000000000000001 +0 125 0001000000000000001000001111111111000000000000000000000000000001 +0 126 0001000000000000001000001111111111000000000000000000000000000001 +0 127 0001000000000000001000001111111111000000000000000000000000000001 +0 128 0001000000000000001000001111111111000000000000000000000000000001 +0 129 0001000000000000001000001111111111000000000000000000000000000001 +0 130 0001000000000000001000001111111111000000000000000000000000000001 +0 131 0001000000000000001000001111111111000000000000000000000000000001 +0 132 0001000000000000001000001111111111000000000000000000000000000001 +0 133 0001000000000000001000001111111111000000000000000000000000000001 +0 134 0001000000000000001000001111111111000000000000000000000000000001 +0 135 0001000000000000001000001111111111000000000000000000000000000001 +0 136 0001000000000000001000001111111111000000000000000000000000000001 +0 137 0001000000000000001000001111111111000000000000000000000000000001 +0 138 0001000000000000001000001111111111000000000000000000000000000001 +0 139 0001000000000000001000001111111111000000000000000000000000000001 +0 140 0001000000000000001000001111111111000000000000000000000000000001 +0 141 0001000000000000001000001111111111000000000000000000000000000001 +0 142 0001000000000000001000001111111111000000000000000000000000000001 +0 143 0001000000000000001000001111111111000000000000000000000000000001 +0 144 0001000000000000001000001111111111000000000000000000000000000001 +0 145 0001000000000000001000001111111111000000000000000000000000000001 +0 146 0001000000000000001000001111111111000000000000000000000000000001 +0 147 0001000000000000001000001111111111000000000000000000000000000001 +0 148 0001000000000000001000001111111111000000000000000000000000000001 +0 149 0001000000000000001000001111111111000000000000000000000000000001 +0 150 0001000000000000001000001111111111000000000000000000000000000001 +0 151 0001000000000000001000001111111111000000000000000000000000000001 +0 152 0001000000000000001000001111111111000000000000000000000000000001 +0 153 0001000000000000001000001111111111000000000000000000000000000001 +0 154 0001000000000000001000001111111111000000000000000000000000000001 +0 155 0001000000000000001000001111111111000000000000000000000000000001 +0 156 0001000000000000001000001111111111000000000000000000000000000001 +0 157 0001000000000000001000001111111111000000000000000000000000000001 +0 158 0001000000000000001000001111111111000000000000000000000000000001 +0 159 0001000000000000001000001111111111000000000000000000000000000001 +0 160 0001000000000000001000001111111111000000000000000000000000000001 +0 161 0001000000000000001000001111111111000000000000000000000000000001 +0 162 0001000000000000001000001111111111000000000000000000000000000001 +0 163 0001000000000000001000001111111111000000000000000000000000000001 +0 164 0001000000000000001000001111111111000000000000000000000000000001 +0 165 0001000000000000001000001111111111000000000000000000000000000001 +0 166 0001000000000000001000001111111111000000000000000000000000000001 +0 167 0001000000000000001000001111111111000000000000000000000000000001 +0 168 0001000000000000001000001111111111000000000000000000000000000001 +0 169 0001000000000000001000001111111111000000000000000000000000000001 +0 170 0001000000000000001000001111111111000000000000000000000000000001 +0 171 0001000000000000001000001111111111000000000000000000000000000001 +0 172 0001000000000000001000001111111111000000000000000000000000000001 +0 173 0001000000000000001000001111111111000000000000000000000000000001 +0 174 0001000000000000001000001111111111000000000000000000000000000001 +0 175 0001000000000000001000001111111111000000000000000000000000000001 +0 176 0001000000000000001000001111111111000000000000000000000000000001 +0 177 0001000000000000001000001111111111000000000000000000000000000001 +0 178 0001000000000000001000001111111111000000000000000000000000000001 +0 179 0001000000000000001000001111111111000000000000000000000000000001 +0 180 0001000000000000001000001111111111000000000000000000000000000001 +0 181 0001000000000000001000001111111111000000000000000000000000000001 +0 182 0001000000000000001000001111111111000000000000000000000000000001 +0 183 0001000000000000001000001111111111000000000000000000000000000001 +0 184 0001000000000000001000001111111111000000000000000000000000000001 +0 185 0001000000000000001000001111111111000000000000000000000000000001 +0 186 0001000000000000001000001111111111000000000000000000000000000001 +0 187 0001000000000000001000001111111111000000000000000000000000000001 +0 188 0001000000000000001000001111111111000000000000000000000000000001 +0 189 0001000000000000001000001111111111000000000000000000000000000001 +0 190 0001000000000000001000001111111111000000000000000000000000000001 +0 191 0001000000000000001000001111111111000000000000000000000000000001 +0 192 0001000000000000001000001111111111000000000000000000000000000001 +0 193 0001000000000000001000001111111111000000000000000000000000000001 +0 194 0001000000000000001000001111111111000000000000000000000000000001 +0 195 0001000000000000001000001111111111000000000000000000000000000001 +0 196 0001000000000000001000001111111111000000000000000000000000000001 +0 197 0001000000000000001000001111111111000000000000000000000000000001 +0 198 0001000000000000001000001111111111000000000000000000000000000001 +0 199 0001000000000000001000001111111111000000000000000000000000000001 +0 200 0001000000000000001000001111111111000000000000000000000000000001 +0 201 0001000000000000001000001111111111000000000000000000000000000001 +0 202 0001000000000000001000001111111111000000000000000000000000000001 +0 203 0001000000000000001000001111111111000000000000000000000000000001 +0 204 0001000000000000001000001111111111000000000000000000000000000001 +0 205 0001000000000000001000001111111111000000000000000000000000000001 +0 206 0001000000000000001000001111111111000000000000000000000000000001 +0 207 0001000000000000001000001111111111000000000000000000000000000001 +0 208 0001000000000000001000001111111111000000000000000000000000000001 +0 209 0001000000000000001000001111111111000000000000000000000000000001 +0 210 0001000000000000001000001111111111000000000000000000000000000001 +0 211 0001000000000000001000001111111111000000000000000000000000000001 +0 212 0001000000000000001000001111111111000000000000000000000000000001 +0 213 0001000000000000001000001111111111000000000000000000000000000001 +0 214 0001000000000000001000001111111111000000000000000000000000000001 +0 215 0001000000000000001000001111111111000000000000000000000000000001 +0 216 0001000000000000001000001111111111000000000000000000000000000001 +0 217 0001000000000000001000001111111111000000000000000000000000000001 +0 218 0001000000000000001000001111111111000000000000000000000000000001 +0 219 0001000000000000001000001111111111000000000000000000000000000001 +0 220 0001000000000000001000001111111111000000000000000000000000000001 +0 221 0001000000000000001000001111111111000000000000000000000000000001 +0 222 0001000000000000001000001111111111000000000000000000000000000001 +0 223 0001000000000000001000001111111111000000000000000000000000000001 +0 224 0001000000000000001000001111111111000000000000000000000000000001 +0 225 0001000000000000001000001111111111000000000000000000000000000001 +0 226 0001000000000000001000001111111111000000000000000000000000000001 +0 227 0001000000000000001000001111111111000000000000000000000000000001 +0 228 0001000000000000001000001111111111000000000000000000000000000001 +0 229 0001000000000000001000001111111111000000000000000000000000000001 +0 230 0001000000000000001000001111111111000000000000000000000000000001 +0 231 0001000000000000001000001111111111000000000000000000000000000001 +0 232 0001000000000000001000001111111111000000000000000000000000000001 +0 233 0001000000000000001000001111111111000000000000000000000000000001 +0 234 0001000000000000001000001111111111000000000000000000000000000001 +0 235 0001000000000000001000001111111111000000000000000000000000000001 +0 236 0001000000000000001000001111111111000000000000000000000000000001 +0 237 0001000000000000001000001111111111000000000000000000000000000001 +0 238 0001000000000000001000001111111111000000000000000000000000000001 +0 239 0001000000000000001000001111111111000000000000000000000000000001 +0 240 0001000000000000001000001111111111000000000000000000000000000001 +0 241 0001000000000000001000001111111111000000000000000000000000000001 +0 242 0001000000000000001000001111111111000000000000000000000000000001 +0 243 0001000000000000001000001111111111000000000000000000000000000001 +0 244 0001000000000000001000001111111111000000000000000000000000000001 +0 245 0001000000000000001000001111111111000000000000000000000000000001 +0 246 0001000000000000001000001111111111000000000000000000000000000001 +0 247 0001000000000000001000001111111111000000000000000000000000000001 +0 248 0001000000000000001000001111111111000000000000000000000000000001 +0 249 0001000000000000001000001111111111000000000000000000000000000001 +0 250 0001000000000000001000001111111111000000000000000000000000000001 +0 251 0001000000000000001000001111111111000000000000000000000000000001 +0 252 0001000000000000001000001111111111000000000000000000000000000001 +0 253 0001000000000000001000001111111111000000000000000000000000000001 +0 254 0001000000000000001000001111111111000000000000000000000000000001 +0 255 0001000000000000001000001111111111000000000000000000000000000001 +0 256 0001000000000000001000001111111111000000000000000000000000000001 +0 257 0001000000000000001000001111111111000000000000000000000000000001 +0 258 0001000000000000001000001111111111000000000000000000000000000001 +0 259 0001000000000000001000001111111111000000000000000000000000000001 +0 260 0001000000000000001000001111111111000000000000000000000000000001 +0 261 0001000000000000001000001111111111000000000000000000000000000001 +0 262 0001000000000000001000001111111111000000000000000000000000000001 +0 263 0001000000000000001000001111111111000000000000000000000000000001 +0 264 0001000000000011001011101010001001000000000000000000000000000001 +0 265 0001000000000011001011101010001001000000000000000000000000000001 +0 266 0001000000000000001000001111111111000000000000000000000000000001 +0 267 0001000000000000001000001111111111000000000000000000000000000001 +0 268 0001000000000000001000001111111111000000000000000000000000000001 +0 269 0001000000000000001000001111111111000000000000000000000000000001 +0 270 0001000000000000001000001111111111000000000000000000000000000001 +0 271 0001000000000000001000001111111111000000000000000000000000000001 +0 272 0001000000000000001000001111111111000000000000000000000000000001 +0 273 0001000000000000001000001111111111000000000000000000000000000001 +0 274 0001000000000000001000001111111111000000000000000000000000000001 +0 275 0001000000000000001000001111111111000000000000000000000000000001 +0 276 0001000000000000001000001111111111000000000000000000000000000001 +0 277 0001000000000000001000001111111111000000000000000000000000000001 +0 278 0001000000000000001000001111111111000000000000000000000000000001 +0 279 0001000000000000001000001111111111000000000000000000000000000001 +0 280 0001000000000000001000001111111111000000000000000000000000000001 +0 281 0001000000000000001000001111111111000000000000000000000000000001 +0 282 0001000000000000001000001111111111000000000000000000000000000001 +0 283 0001000000000000001000001111111111000000000000000000000000000001 +0 284 0001000000000000001000001111111111000000000000000000000000000001 +0 285 0001000000000000001000001111111111000000000000000000000000000001 +0 286 0001000000000000001000001111111111000000000000000000000000000001 +0 287 0001000000000000001000001111111111000000000000000000000000000001 +0 288 0001000000000000001000001111111111000000000000000000000000000001 +0 289 0001000000000000001000001111111111000000000000000000000000000001 +0 290 0001000000000000001000001111111111000000000000000000000000000001 +0 291 0001000000000000001000001111111111000000000000000000000000000001 +0 292 0001000000000000001000001111111111000000000000000000000000000001 +0 293 0001000000000000001000001111111111000000000000000000000000000001 +0 294 0001000000000000001000001111111111000000000000000000000000000001 +0 295 0001000000000000001000001111111111000000000000000000000000000001 +0 296 0001000000000011001011101010001001000000000000000000000000000001 +0 297 0001000000000011001011101010001001000000000000000000000000000001 +0 298 0001000000000000001000001111111111000000000000000000000000000001 +0 299 0001000000000000001000001111111111000000000000000000000000000001 +0 300 0001000000000000001000001111111111000000000000000000000000000001 +0 301 0001000000000000001000001111111111000000000000000000000000000001 +0 302 0001000000000000001000001111111111000000000000000000000000000001 +0 303 0001000000000000001000001111111111000000000000000000000000000001 +0 304 0001000000000000001000001111111111000000000000000000000000000001 +0 305 0001000000000000001000001111111111000000000000000000000000000001 +0 306 0001000000000000001000001111111111000000000000000000000000000001 +0 307 0001000000000000001000001111111111000000000000000000000000000001 +0 308 0001000000000000001000001111111111000000000000000000000000000001 +0 309 0001000000000000001000001111111111000000000000000000000000000001 +0 310 0001000000000000001000001111111111000000000000000000000000000001 +0 311 0001000000000000001000001111111111000000000000000000000000000001 +0 312 0001000000000000001000001111111111000000000000000000000000000001 +0 313 0001000000000000001000001111111111000000000000000000000000000001 +0 314 0001000000000000001000001111111111000000000000000000000000000001 +0 315 0001000000000000001000001111111111000000000000000000000000000001 +0 316 0001000000000000001000001111111111000000000000000000000000000001 +0 317 0001000000000000001000001111111111000000000000000000000000000001 +0 318 0001000000000000001000001111111111000000000000000000000000000001 +0 319 0001000000000000001000001111111111000000000000000000000000000001 +0 320 0001000000000000001000001111111111000000000000000000000000000001 +0 321 0001000000000000001000001111111111000000000000000000000000000001 +0 322 0001000000000000001000001111111111000000000000000000000000000001 +0 323 0001000000000000001000001111111111000000000000000000000000000001 +0 324 0001000000000000001000001111111111000000000000000000000000000001 +0 325 0001000000000000001000001111111111000000000000000000000000000001 +0 326 0001000000000000001000001111111111000000000000000000000000000001 +0 327 0001000000000000001000001111111111000000000000000000000000000001 +0 328 0001000000000011001011101010001001000000000000000000000000000001 +0 329 0001000000000011001011101010001001000000000000000000000000000001 +0 330 0001000000000000001000001111111111000000000000000000000000000001 +0 331 0001000000000000001000001111111111000000000000000000000000000001 +0 332 0001000000000000001000001111111111000000000000000000000000000001 +0 333 0001000000000000001000001111111111000000000000000000000000000001 +0 334 0001000000000000001000001111111111000000000000000000000000000001 +0 335 0001000000000000001000001111111111000000000000000000000000000001 +0 336 0001000000000000001000001111111111000000000000000000000000000001 +0 337 0001000000000000001000001111111111000000000000000000000000000001 +0 338 0001000000000000001000001111111111000000000000000000000000000001 +0 339 0001000000000000001000001111111111000000000000000000000000000001 +0 340 0001000000000000001000001111111111000000000000000000000000000001 +0 341 0001000000000000001000001111111111000000000000000000000000000001 +0 342 0001000000000000001000001111111111000000000000000000000000000001 +0 343 0001000000000000001000001111111111000000000000000000000000000001 +0 344 0001000000000000001000001111111111000000000000000000000000000001 +0 345 0001000000000000001000001111111111000000000000000000000000000001 +0 346 0001000000000000001000001111111111000000000000000000000000000001 +0 347 0001000000000000001000001111111111000000000000000000000000000001 +0 348 0001000000000000001000001111111111000000000000000000000000000001 +0 349 0001000000000000001000001111111111000000000000000000000000000001 +0 350 0001000000000000001000001111111111000000000000000000000000000001 +0 351 0001000000000000001000001111111111000000000000000000000000000001 +0 352 0001000000000000001000001111111111000000000000000000000000000001 +0 353 0001000000000000001000001111111111000000000000000000000000000001 +0 354 0001000000000000001000001111111111000000000000000000000000000001 +0 355 0001000000000000001000001111111111000000000000000000000000000001 +0 356 0001000000000000001000001111111111000000000000000000000000000001 +0 357 0001000000000000001000001111111111000000000000000000000000000001 +0 358 0001000000000000001000001111111111000000000000000000000000000001 +0 359 0001000000000000001000001111111111000000000000000000000000000001 +0 360 0001000000000011001011101010001001000000000000000000000000000001 +0 361 0001000000000011001011101010001001000000000000000000000000000001 +0 362 0001000000000000001000001111111111000000000000000000000000000001 +0 363 0001000000000000001000001111111111000000000000000000000000000001 +0 364 0001000000000000001000001111111111000000000000000000000000000001 +0 365 0001000000000000001000001111111111000000000000000000000000000001 +0 366 0001000000000000001000001111111111000000000000000000000000000001 +0 367 0001000000000000001000001111111111000000000000000000000000000001 +0 368 0001000000000000001000001111111111000000000000000000000000000001 +0 369 0001000000000000001000001111111111000000000000000000000000000001 +0 370 0001000000000000001000001111111111000000000000000000000000000001 +0 371 0001000000000000001000001111111111000000000000000000000000000001 +0 372 0001000000000000001000001111111111000000000000000000000000000001 +0 373 0001000000000000001000001111111111000000000000000000000000000001 +0 374 0001000000000000001000001111111111000000000000000000000000000001 +0 375 0001000000000000001000001111111111000000000000000000000000000001 +0 376 0001000000000000001000001111111111000000000000000000000000000001 +0 377 0001000000000000001000001111111111000000000000000000000000000001 +0 378 0001000000000000001000001111111111000000000000000000000000000001 +0 379 0001000000000000001000001111111111000000000000000000000000000001 +0 380 0001000000000000001000001111111111000000000000000000000000000001 +0 381 0001000000000000001000001111111111000000000000000000000000000001 +0 382 0001000000000000001000001111111111000000000000000000000000000001 +0 383 0001000000000000001000001111111111000000000000000000000000000001 +0 384 0001000000000000001000001111111111000000000000000000000000000001 +0 385 0001000000000000001000001111111111000000000000000000000000000001 +0 386 0001000000000000001000001111111111000000000000000000000000000001 +0 387 0001000000000000001000001111111111000000000000000000000000000001 +0 388 0001000000000000001000001111111111000000000000000000000000000001 +0 389 0001000000000000001000001111111111000000000000000000000000000001 +0 390 0001000000000000001000001111111111000000000000000000000000000001 +0 391 0001000000000000001000001111111111000000000000000000000000000001 +0 392 0001000000000000001000001111111111000000000000000000000000000001 +0 393 0001000000000000001000001111111111000000000000000000000000000001 +0 394 0001000000000000001000001111111111000000000000000000000000000001 +0 395 0001000000000000001000001111111111000000000000000000000000000001 +0 396 0001000000000000001000001111111111000000000000000000000000000001 +0 397 0001000000000000001000001111111111000000000000000000000000000001 +0 398 0001000000000000001000001111111111000000000000000000000000000001 +0 399 0001000000000000001000001111111111000000000000000000000000000001 +0 400 0001000000000000001000001111111111000000000000000000000000000001 +0 401 0001000000000000001000001111111111000000000000000000000000000001 +0 402 0001000000000000001000001111111111000000000000000000000000000001 +0 403 0001000000000000001000001111111111000000000000000000000000000001 +0 404 0001000000000000001000001111111111000000000000000000000000000001 +0 405 0001000000000000001000001111111111000000000000000000000000000001 +0 406 0001000000000000001000001111111111000000000000000000000000000001 +0 407 0001000000000000001000001111111111000000000000000000000000000001 +0 408 0001000000000000001000001111111111000000000000000000000000000001 +0 409 0001000000000000001000001111111111000000000000000000000000000001 +0 410 0001000000000000001000001111111111000000000000000000000000000001 +0 411 0001000000000000001000001111111111000000000000000000000000000001 +0 412 0001000000000000001000001111111111000000000000000000000000000001 +0 413 0001000000000000001000001111111111000000000000000000000000000001 +0 414 0001000000000000001000001111111111000000000000000000000000000001 +0 415 0001000000000000001000001111111111000000000000000000000000000001 +0 416 0001000000000000001000001111111111000000000000000000000000000001 +0 417 0001000000000000001000001111111111000000000000000000000000000001 +0 418 0001000000000000001000001111111111000000000000000000000000000001 +0 419 0001000000000000001000001111111111000000000000000000000000000001 +0 420 0001000000000000001000001111111111000000000000000000000000000001 +0 421 0001000000000000001000001111111111000000000000000000000000000001 +0 422 0001000000000000001000001111111111000000000000000000000000000001 +0 423 0001000000000000001000001111111111000000000000000000000000000001 +0 424 0001000000000000001000001111111111000000000000000000000000000001 +0 425 0001000000000000001000001111111111000000000000000000000000000001 +0 426 0001000000000000001000001111111111000000000000000000000000000001 +0 427 0001000000000000001000001111111111000000000000000000000000000001 +0 428 0001000000000000001000001111111111000000000000000000000000000001 +0 429 0001000000000000001000001111111111000000000000000000000000000001 +0 430 0001000000000000001000001111111111000000000000000000000000000001 +0 431 0001000000000000001000001111111111000000000000000000000000000001 +0 432 0001000000000000001000001111111111000000000000000000000000000001 +0 433 0001000000000000001000001111111111000000000000000000000000000001 +0 434 0001000000000000001000001111111111000000000000000000000000000001 +0 435 0001000000000000001000001111111111000000000000000000000000000001 +0 436 0001000000000000001000001111111111000000000000000000000000000001 +0 437 0001000000000000001000001111111111000000000000000000000000000001 +0 438 0001000000000000001000001111111111000000000000000000000000000001 +0 439 0001000000000000001000001111111111000000000000000000000000000001 +0 440 0001000000000000001000001111111111000000000000000000000000000001 +0 441 0001000000000000001000001111111111000000000000000000000000000001 +0 442 0001000000000000001000001111111111000000000000000000000000000001 +0 443 0001000000000000001000001111111111000000000000000000000000000001 +0 444 0001000000000000001000001111111111000000000000000000000000000001 +0 445 0001000000000000001000001111111111000000000000000000000000000001 +0 446 0001000000000000001000001111111111000000000000000000000000000001 +0 447 0001000000000000001000001111111111000000000000000000000000000001 +0 448 0001000000000000001000001111111111000000000000000000000000000001 +0 449 0001000000000000001000001111111111000000000000000000000000000001 +0 450 0001000000000000001000001111111111000000000000000000000000000001 +0 451 0001000000000000001000001111111111000000000000000000000000000001 +0 452 0001000000000000001000001111111111000000000000000000000000000001 +0 453 0001000000000000001000001111111111000000000000000000000000000001 +0 454 0001000000000000001000001111111111000000000000000000000000000001 +0 455 0001000000000000001000001111111111000000000000000000000000000001 +0 456 0001000000000000001000001111111111000000000000000000000000000001 +0 457 0001000000000000001000001111111111000000000000000000000000000001 +0 458 0001000000000000001000001111111111000000000000000000000000000001 +0 459 0001000000000000001000001111111111000000000000000000000000000001 +0 460 0001000000000000001000001111111111000000000000000000000000000001 +0 461 0001000000000000001000001111111111000000000000000000000000000001 +0 462 0001000000000000001000001111111111000000000000000000000000000001 +0 463 0001000000000000001000001111111111000000000000000000000000000001 +0 464 0001000000000000001000001111111111000000000000000000000000000001 +0 465 0001000000000000001000001111111111000000000000000000000000000001 +0 466 0001000000000000001000001111111111000000000000000000000000000001 +0 467 0001000000000000001000001111111111000000000000000000000000000001 +0 468 0001000000000000001000001111111111000000000000000000000000000001 +0 469 0001000000000000001000001111111111000000000000000000000000000001 +0 470 0001000000000000001000001111111111000000000000000000000000000001 +0 471 0001000000000000001000001111111111000000000000000000000000000001 +0 472 0001000000000000001000001111111111000000000000000000000000000001 +0 473 0001000000000000001000001111111111000000000000000000000000000001 +0 474 0001000000000000001000001111111111000000000000000000000000000001 +0 475 0001000000000000001000001111111111000000000000000000000000000001 +0 476 0001000000000000001000001111111111000000000000000000000000000001 +0 477 0001000000000000001000001111111111000000000000000000000000000001 +0 478 0001000000000000001000001111111111000000000000000000000000000001 +0 479 0001000000000000001000001111111111000000000000000000000000000001 +0 480 0001000000000000001000001111111111000000000000000000000000000001 +0 481 0001000000000000001000001111111111000000000000000000000000000001 +0 482 0001000000000000001000001111111111000000000000000000000000000001 +0 483 0001000000000000001000001111111111000000000000000000000000000001 +0 484 0001000000000000001000001111111111000000000000000000000000000001 +0 485 0001000000000000001000001111111111000000000000000000000000000001 +0 486 0001000000000000001000001111111111000000000000000000000000000001 +0 487 0001000000000000001000001111111111000000000000000000000000000001 +0 488 0001000000000000001000001111111111000000000000000000000000000001 +0 489 0001000000000000001000001111111111000000000000000000000000000001 +0 490 0001000000000000001000001111111111000000000000000000000000000001 +0 491 0001000000000000001000001111111111000000000000000000000000000001 +0 492 0001000000000000001000001111111111000000000000000000000000000001 +0 493 0001000000000000001000001111111111000000000000000000000000000001 +0 494 0001000000000000001000001111111111000000000000000000000000000001 +0 495 0001000000000000001000001111111111000000000000000000000000000001 +0 496 0001000000000000001000001111111111000000000000000000000000000001 +0 497 0001000000000000001000001111111111000000000000000000000000000001 +0 498 0001000000000000001000001111111111000000000000000000000000000001 +0 499 0001000000000000001000001111111111000000000000000000000000000001 +0 500 0001000000000000001000001111111111000000000000000000000000000001 +0 501 0001000000000000001000001111111111000000000000000000000000000001 +0 502 0001000000000000001000001111111111000000000000000000000000000001 +0 503 0001000000000000001000001111111111000000000000000000000000000001 +0 504 0001000000000000001000001111111111000000000000000000000000000001 +0 505 0001000000000000001000001111111111000000000000000000000000000001 +0 506 0001000000000000001000001111111111000000000000000000000000000001 +0 507 0001000000000000001000001111111111000000000000000000000000000001 +0 508 0001000000000000001000001111111111000000000000000000000000000001 +0 509 0001000000000000001000001111111111000000000000000000000000000001 +0 510 0001000000000000001000001111111111000000000000000000000000000001 +0 511 0001000000000000001000001111111111000000000000000000000000000001 +0 512 0001000000000000001000001111111111000000000000000000000000000001 +0 513 0001000000000000001000001111111111000000000000000000000000000001 +0 514 0001000000000000001000001111111111000000000000000000000000000001 +0 515 0001000000000000001000001111111111000000000000000000000000000001 +0 516 0001000000000000001000001111111111000000000000000000000000000001 +0 517 0001000000000000001000001111111111000000000000000000000000000001 +0 518 0001000000000000001000001111111111000000000000000000000000000001 +0 519 0001000000000000001000001111111111000000000000000000000000000001 +0 520 0001000000000000001000001111111111000000000000000000000000000001 +0 521 0001000000000000001000001111111111000000000000000000000000000001 +0 522 0001000000000000001000001111111111000000000000000000000000000001 +0 523 0001000000000000001000001111111111000000000000000000000000000001 +0 524 0001000000000000001000001111111111000000000000000000000000000001 +0 525 0001000000000000001000001111111111000000000000000000000000000001 +0 526 0001000000000000001000001111111111000000000000000000000000000001 +0 527 0001000000000000001000001111111111000000000000000000000000000001 +0 528 0001000000000000001000001111111111000000000000000000000000000001 +0 529 0001000000000000001000001111111111000000000000000000000000000001 +0 530 0001000000000000001000001111111111000000000000000000000000000001 +0 531 0001000000000000001000001111111111000000000000000000000000000001 +0 532 0001000000000000001000001111111111000000000000000000000000000001 +0 533 0001000000000000001000001111111111000000000000000000000000000001 +0 534 0001000000000000001000001111111111000000000000000000000000000001 +0 535 0001000000000000001000001111111111000000000000000000000000000001 +0 536 0001000000000000001000001111111111000000000000000000000000000001 +0 537 0001000000000000001000001111111111000000000000000000000000000001 +0 538 0001000000000000001000001111111111000000000000000000000000000001 +0 539 0001000000000000001000001111111111000000000000000000000000000001 +0 540 0001000000000000001000001111111111000000000000000000000000000001 +0 541 0001000000000000001000001111111111000000000000000000000000000001 +0 542 0001000000000000001000001111111111000000000000000000000000000001 +0 543 0001000000000000001000001111111111000000000000000000000000000001 +0 544 0001000000000000001000001111111111000000000000000000000000000001 +0 545 0001000000000000001000001111111111000000000000000000000000000001 +0 546 0001000000000000001000001111111111000000000000000000000000000001 +0 547 0001000000000000001000001111111111000000000000000000000000000001 +0 548 0001000000000000001000001111111111000000000000000000000000000001 +0 549 0001000000000000001000001111111111000000000000000000000000000001 +0 550 0001000000000000001000001111111111000000000000000000000000000001 +0 551 0001000000000000001000001111111111000000000000000000000000000001 +0 552 0001000000000000001000001111111111000000000000000000000000000001 +0 553 0001000000000000001000001111111111000000000000000000000000000001 +0 554 0001000000000000001000001111111111000000000000000000000000000001 +0 555 0001000000000000001000001111111111000000000000000000000000000001 +0 556 0001000000000000001000001111111111000000000000000000000000000001 +0 557 0001000000000000001000001111111111000000000000000000000000000001 +0 558 0001000000000000001000001111111111000000000000000000000000000001 +0 559 0001000000000000001000001111111111000000000000000000000000000001 +0 560 0001000000000000001000001111111111000000000000000000000000000001 +0 561 0001000000000000001000001111111111000000000000000000000000000001 +0 562 0001000000000000001000001111111111000000000000000000000000000001 +0 563 0001000000000000001000001111111111000000000000000000000000000001 +0 564 0001000000000000001000001111111111000000000000000000000000000001 +0 565 0001000000000000001000001111111111000000000000000000000000000001 +0 566 0001000000000000001000001111111111000000000000000000000000000001 +0 567 0001000000000000001000001111111111000000000000000000000000000001 +0 568 0001000000000000001000001111111111000000000000000000000000000001 +0 569 0001000000000000001000001111111111000000000000000000000000000001 +0 570 0001000000000000001000001111111111000000000000000000000000000001 +0 571 0001000000000000001000001111111111000000000000000000000000000001 +0 572 0001000000000000001000001111111111000000000000000000000000000001 +0 573 0001000000000000001000001111111111000000000000000000000000000001 +0 574 0001000000000000001000001111111111000000000000000000000000000001 +0 575 0001000000000000001000001111111111000000000000000000000000000001 +0 576 0001000000000000001000001111111111000000000000000000000000000001 +0 577 0001000000000000001000001111111111000000000000000000000000000001 +0 578 0001000000000000001000001111111111000000000000000000000000000001 +0 579 0001000000000000001000001111111111000000000000000000000000000001 +0 580 0001000000000000001000001111111111000000000000000000000000000001 +0 581 0001000000000000001000001111111111000000000000000000000000000001 +0 582 0001000000000000001000001111111111000000000000000000000000000001 +0 583 0001000000000000001000001111111111000000000000000000000000000001 +0 584 0001000000000000001000001111111111000000000000000000000000000001 +0 585 0001000000000000001000001111111111000000000000000000000000000001 +0 586 0001000000000000001000001111111111000000000000000000000000000001 +0 587 0001000000000000001000001111111111000000000000000000000000000001 +0 588 0001000000000000001000001111111111000000000000000000000000000001 +0 589 0001000000000000001000001111111111000000000000000000000000000001 +0 590 0001000000000000001000001111111111000000000000000000000000000001 +0 591 0001000000000000001000001111111111000000000000000000000000000001 +0 592 0001000000000000001000001111111111000000000000000000000000000001 +0 593 0001000000000000001000001111111111000000000000000000000000000001 +0 594 0001000000000000001000001111111111000000000000000000000000000001 +0 595 0001000000000000001000001111111111000000000000000000000000000001 +0 596 0001000000000000001000001111111111000000000000000000000000000001 +0 597 0001000000000000001000001111111111000000000000000000000000000001 +0 598 0001000000000000001000001111111111000000000000000000000000000001 +0 599 0001000000000000001000001111111111000000000000000000000000000001 +0 600 0001000000000000001000001111111111000000000000000000000000000001 +0 601 0001000000000000001000001111111111000000000000000000000000000001 +0 602 0001000000000000001000001111111111000000000000000000000000000001 +0 603 0001000000000000001000001111111111000000000000000000000000000001 +0 604 0001000000000000001000001111111111000000000000000000000000000001 +0 605 0001000000000000001000001111111111000000000000000000000000000001 +0 606 0001000000000000001000001111111111000000000000000000000000000001 +0 607 0001000000000000001000001111111111000000000000000000000000000001 +0 608 0001000000000000001000001111111111000000000000000000000000000001 +0 609 0001000000000000001000001111111111000000000000000000000000000001 +0 610 0001000000000000001000001111111111000000000000000000000000000001 +0 611 0001000000000000001000001111111111000000000000000000000000000001 +0 612 0001000000000000001000001111111111000000000000000000000000000001 +0 613 0001000000000000001000001111111111000000000000000000000000000001 +0 614 0001000000000000001000001111111111000000000000000000000000000001 +0 615 0001000000000000001000001111111111000000000000000000000000000001 +0 616 0001000000000000001000001111111111000000000000000000000000000001 +0 617 0001000000000000001000001111111111000000000000000000000000000001 +0 618 0001000000000000001000001111111111000000000000000000000000000001 +0 619 0001000000000000001000001111111111000000000000000000000000000001 +0 620 0001000000000000001000001111111111000000000000000000000000000001 +0 621 0001000000000000001000001111111111000000000000000000000000000001 +0 622 0001000000000000001000001111111111000000000000000000000000000001 +0 623 0001000000000000001000001111111111000000000000000000000000000001 +0 624 0001000000000000001000001111111111000000000000000000000000000001 +0 625 0001000000000000001000001111111111000000000000000000000000000001 +0 626 0001000000000000001000001111111111000000000000000000000000000001 +0 627 0001000000000000001000001111111111000000000000000000000000000001 +0 628 0001000000000000001000001111111111000000000000000000000000000001 +0 629 0001000000000000001000001111111111000000000000000000000000000001 +0 630 0001000000000000001000001111111111000000000000000000000000000001 +0 631 0001000000000000001000001111111111000000000000000000000000000001 +0 632 0001000000000000001000001111111111000000000000000000000000000001 +0 633 0001000000000000001000001111111111000000000000000000000000000001 +0 634 0001000000000000001000001111111111000000000000000000000000000001 +0 635 0001000000000000001000001111111111000000000000000000000000000001 +0 636 0001000000000000001000001111111111000000000000000000000000000001 +0 637 0001000000000000001000001111111111000000000000000000000000000001 +0 638 0001000000000000001000001111111111000000000000000000000000000001 +0 639 0001000000000000001000001111111111000000000000000000000000000001 +0 640 0001000000000000001000001111111111000000000000000000000000000001 +0 641 0001000000000000001000001111111111000000000000000000000000000001 +0 642 0001000000000000001000001111111111000000000000000000000000000001 +0 643 0001000000000000001000001111111111000000000000000000000000000001 +0 644 0001000000000000001000001111111111000000000000000000000000000001 +0 645 0001000000000000001000001111111111000000000000000000000000000001 +0 646 0001000000000000001000001111111111000000000000000000000000000001 +0 647 0001000000000000001000001111111111000000000000000000000000000001 +0 648 0001000000000000001000001111111111000000000000000000000000000001 +0 649 0001000000000000001000001111111111000000000000000000000000000001 +0 650 0001000000000000001000001111111111000000000000000000000000000001 +0 651 0001000000000000001000001111111111000000000000000000000000000001 +0 652 0001000000000000001000001111111111000000000000000000000000000001 +0 653 0001000000000000001000001111111111000000000000000000000000000001 +0 654 0001000000000000001000001111111111000000000000000000000000000001 +0 655 0001000000000000001000001111111111000000000000000000000000000001 +0 656 0001000000000000001000001111111111000000000000000000000000000001 +0 657 0001000000000000001000001111111111000000000000000000000000000001 +0 658 0001000000000000001000001111111111000000000000000000000000000001 +0 659 0001000000000000001000001111111111000000000000000000000000000001 +0 660 0001000000000000001000001111111111000000000000000000000000000001 +0 661 0001000000000000001000001111111111000000000000000000000000000001 +0 662 0001000000000000001000001111111111000000000000000000000000000001 +0 663 0001000000000000001000001111111111000000000000000000000000000001 +0 664 0001000000000000001000001111111111000000000000000000000000000001 +0 665 0001000000000000001000001111111111000000000000000000000000000001 +0 666 0001000000000000001000001111111111000000000000000000000000000001 +0 667 0001000000000000001000001111111111000000000000000000000000000001 +0 668 0001000000000000001000001111111111000000000000000000000000000001 +0 669 0001000000000000001000001111111111000000000000000000000000000001 +0 670 0001000000000000001000001111111111000000000000000000000000000001 +0 671 0001000000000000001000001111111111000000000000000000000000000001 +0 672 0001000000000000001000001111111111000000000000000000000000000001 +0 673 0001000000000000001000001111111111000000000000000000000000000001 +0 674 0001000000000000001000001111111111000000000000000000000000000001 +0 675 0001000000000000001000001111111111000000000000000000000000000001 +0 676 0001000000000000001000001111111111000000000000000000000000000001 +0 677 0001000000000000001000001111111111000000000000000000000000000001 +0 678 0001000000000000001000001111111111000000000000000000000000000001 +0 679 0001000000000000001000001111111111000000000000000000000000000001 +0 680 0001000000000000001000001111111111000000000000000000000000000001 +0 681 0001000000000000001000001111111111000000000000000000000000000001 +0 682 0001000000000000001000001111111111000000000000000000000000000001 +0 683 0001000000000000001000001111111111000000000000000000000000000001 +0 684 0001000000000000001000001111111111000000000000000000000000000001 +0 685 0001000000000000001000001111111111000000000000000000000000000001 +0 686 0001000000000000001000001111111111000000000000000000000000000001 +0 687 0001000000000000001000001111111111000000000000000000000000000001 +0 688 0001000000000000001000001111111111000000000000000000000000000001 +0 689 0001000000000000001000001111111111000000000000000000000000000001 +0 690 0001000000000000001000001111111111000000000000000000000000000001 +0 691 0001000000000000001000001111111111000000000000000000000000000001 +0 692 0001000000000000001000001111111111000000000000000000000000000001 +0 693 0001000000000000001000001111111111000000000000000000000000000001 +0 694 0001000000000000001000001111111111000000000000000000000000000001 +0 695 0001000000000000001000001111111111000000000000000000000000000001 +0 696 0001000000000000001000001111111111000000000000000000000000000001 +0 697 0001000000000000001000001111111111000000000000000000000000000001 +0 698 0001000000000000001000001111111111000000000000000000000000000001 +0 699 0001000000000000001000001111111111000000000000000000000000000001 +0 700 0001000000000000001000001111111111000000000000000000000000000001 +0 701 0001000000000000001000001111111111000000000000000000000000000001 +0 702 0001000000000000001000001111111111000000000000000000000000000001 +0 703 0001000000000000001000001111111111000000000000000000000000000001 +0 704 0001000000000000001000001111111111000000000000000000000000000001 +0 705 0001000000000000001000001111111111000000000000000000000000000001 +0 706 0001000000000000001000001111111111000000000000000000000000000001 +0 707 0001000000000000001000001111111111000000000000000000000000000001 +0 708 0001000000000000001000001111111111000000000000000000000000000001 +0 709 0001000000000000001000001111111111000000000000000000000000000001 +0 710 0001000000000000001000001111111111000000000000000000000000000001 +0 711 0001000000000000001000001111111111000000000000000000000000000001 +0 712 0001000000000000001000001111111111000000000000000000000000000001 +0 713 0001000000000000001000001111111111000000000000000000000000000001 +0 714 0001000000000000001000001111111111000000000000000000000000000001 +0 715 0001000000000000001000001111111111000000000000000000000000000001 +0 716 0001000000000000001000001111111111000000000000000000000000000001 +0 717 0001000000000000001000001111111111000000000000000000000000000001 +0 718 0001000000000000001000001111111111000000000000000000000000000001 +0 719 0001000000000000001000001111111111000000000000000000000000000001 +0 720 0001000000000000001000001111111111000000000000000000000000000001 +0 721 0001000000000000001000001111111111000000000000000000000000000001 +0 722 0001000000000000001000001111111111000000000000000000000000000001 +0 723 0001000000000000001000001111111111000000000000000000000000000001 +0 724 0001000000000000001000001111111111000000000000000000000000000001 +0 725 0001000000000000001000001111111111000000000000000000000000000001 +0 726 0001000000000000001000001111111111000000000000000000000000000001 +0 727 0001000000000000001000001111111111000000000000000000000000000001 +0 728 0001000000000000001000001111111111000000000000000000000000000001 +0 729 0001000000000000001000001111111111000000000000000000000000000001 +0 730 0001000000000000001000001111111111000000000000000000000000000001 +0 731 0001000000000000001000001111111111000000000000000000000000000001 +0 732 0001000000000000001000001111111111000000000000000000000000000001 +0 733 0001000000000000001000001111111111000000000000000000000000000001 +0 734 0001000000000000001000001111111111000000000000000000000000000001 +0 735 0001000000000000001000001111111111000000000000000000000000000001 +0 736 0001000000000000001000001111111111000000000000000000000000000001 +0 737 0001000000000000001000001111111111000000000000000000000000000001 +0 738 0001000000000000001000001111111111000000000000000000000000000001 +0 739 0001000000000000001000001111111111000000000000000000000000000001 +0 740 0001000000000000001000001111111111000000000000000000000000000001 +0 741 0001000000000000001000001111111111000000000000000000000000000001 +0 742 0001000000000000001000001111111111000000000000000000000000000001 +0 743 0001000000000000001000001111111111000000000000000000000000000001 +0 744 0001000000000000001000001111111111000000000000000000000000000001 +0 745 0001000000000000001000001111111111000000000000000000000000000001 +0 746 0001000000000000001000001111111111000000000000000000000000000001 +0 747 0001000000000000001000001111111111000000000000000000000000000001 +0 748 0001000000000000001000001111111111000000000000000000000000000001 +0 749 0001000000000000001000001111111111000000000000000000000000000001 +0 750 0001000000000000001000001111111111000000000000000000000000000001 +0 751 0001000000000000001000001111111111000000000000000000000000000001 +0 752 0001000000000000001000001111111111000000000000000000000000000001 +0 753 0001000000000000001000001111111111000000000000000000000000000001 +0 754 0001000000000000001000001111111111000000000000000000000000000001 +0 755 0001000000000000001000001111111111000000000000000000000000000001 +0 756 0001000000000000001000001111111111000000000000000000000000000001 +0 757 0001000000000000001000001111111111000000000000000000000000000001 +0 758 0001000000000000001000001111111111000000000000000000000000000001 +0 759 0001000000000000001000001111111111000000000000000000000000000001 +0 760 0001000000000000001000001111111111000000000000000000000000000001 +0 761 0001000000000000001000001111111111000000000000000000000000000001 +0 762 0001000000000000001000001111111111000000000000000000000000000001 +0 763 0001000000000000001000001111111111000000000000000000000000000001 +0 764 0001000000000000001000001111111111000000000000000000000000000001 +0 765 0001000000000000001000001111111111000000000000000000000000000001 +0 766 0001000000000000001000001111111111000000000000000000000000000001 +0 767 0001000000000000001000001111111111000000000000000000000000000001 +0 768 0001000000000000001000001111111111000000000000000000000000000001 +0 769 0001000000000000001000001111111111000000000000000000000000000001 +0 770 0001000000000000001000001111111111000000000000000000000000000001 +0 771 0001000000000000001000001111111111000000000000000000000000000001 +0 772 0001000000000000001000001111111111000000000000000000000000000001 +0 773 0001000000000000001000001111111111000000000000000000000000000001 +0 774 0001000000000000001000001111111111000000000000000000000000000001 +0 775 0001000000000000001000001111111111000000000000000000000000000001 +0 776 0001000000000011001011101010001001000000000000000000000000000001 +0 777 0001000000000011001011101010001001000000000000000000000000000001 +0 778 0001000000000000001000001111111111000000000000000000000000000001 +0 779 0001000000000000001000001111111111000000000000000000000000000001 +0 780 0001000000000000001000001111111111000000000000000000000000000001 +0 781 0001000000000000001000001111111111000000000000000000000000000001 +0 782 0001000000000000001000001111111111000000000000000000000000000001 +0 783 0001000000000000001000001111111111000000000000000000000000000001 +0 784 0001000000000000001000001111111111000000000000000000000000000001 +0 785 0001000000000000001000001111111111000000000000000000000000000001 +0 786 0001000000000000001000001111111111000000000000000000000000000001 +0 788 0001000000000000001000001111111111000000000000000000000000000001 +0 789 0001000000000000001000001111111111000000000000000000000000000001 +0 790 0001000000000000001000001111111111000000000000000000000000000001 +0 791 0001000000000000001000001111111111000000000000000000000000000001 +0 792 0001000000000000001000001111111111000000000000000000000000000001 +0 793 0001000000000000001000001111111111000000000000000000000000000001 +0 794 0001000000000000001000001111111111000000000000000000000000000001 +0 795 0001000000000000001000001111111111000000000000000000000000000001 +0 796 0001000000000000001000001111111111000000000000000000000000000001 +0 797 0001000000000000001000001111111111000000000000000000000000000001 +0 798 0001000000000000001000001111111111000000000000000000000000000001 +0 799 0001000000000000001000001111111111000000000000000000000000000001 +0 800 0001000000000000001000001111111111000000000000000000000000000001 +0 801 0001000000000000001000001111111111000000000000000000000000000001 +0 802 0001000000000000001000001111111111000000000000000000000000000001 +0 803 0001000000000000001000001111111111000000000000000000000000000001 +0 804 0001000000000000001000001111111111000000000000000000000000000001 +0 805 0001000000000000001000001111111111000000000000000000000000000001 +0 806 0001000000000000001000001111111111000000000000000000000000000001 +0 807 0001000000000000001000001111111111000000000000000000000000000001 +0 808 0001000000000011001011101010001001000000000000000000000000000001 +0 809 0001000000000011001011101010001001000000000000000000000000000001 +0 810 0001000000000000001000001111111111000000000000000000000000000001 +0 811 0001000000000000001000001111111111000000000000000000000000000001 +0 812 0001000000000000001000001111111111000000000000000000000000000001 +0 813 0001000000000000001000001111111111000000000000000000000000000001 +0 814 0001000000000000001000001111111111000000000000000000000000000001 +0 815 0001000000000000001000001111111111000000000000000000000000000001 +0 816 0001000000000000001000001111111111000000000000000000000000000001 +0 817 0001000000000000001000001111111111000000000000000000000000000001 +0 818 0001000000000000001000001111111111000000000000000000000000000001 +0 819 0001000000000000001000001111111111000000000000000000000000000001 +0 820 0001000000000000001000001111111111000000000000000000000000000001 +0 821 0001000000000000001000001111111111000000000000000000000000000001 +0 822 0001000000000000001000001111111111000000000000000000000000000001 +0 823 0001000000000000001000001111111111000000000000000000000000000001 +0 824 0001000000000000001000001111111111000000000000000000000000000001 +0 825 0001000000000000001000001111111111000000000000000000000000000001 +0 826 0001000000000000001000001111111111000000000000000000000000000001 +0 827 0001000000000000001000001111111111000000000000000000000000000001 +0 828 0001000000000000001000001111111111000000000000000000000000000001 +0 829 0001000000000000001000001111111111000000000000000000000000000001 +0 830 0001000000000000001000001111111111000000000000000000000000000001 +0 831 0001000000000000001000001111111111000000000000000000000000000001 +0 832 0001000000000000001000001111111111000000000000000000000000000001 +0 833 0001000000000000001000001111111111000000000000000000000000000001 +0 834 0001000000000000001000001111111111000000000000000000000000000001 +0 835 0001000000000000001000001111111111000000000000000000000000000001 +0 836 0001000000000000001000001111111111000000000000000000000000000001 +0 837 0001000000000000001000001111111111000000000000000000000000000001 +0 838 0001000000000000001000001111111111000000000000000000000000000001 +0 839 0001000000000000001000001111111111000000000000000000000000000001 +0 840 0001000000000011001011101010001001000000000000000000000000000001 +0 841 0001000000000011001011101010001001000000000000000000000000000001 +0 842 0001000000000000001000001111111111000000000000000000000000000001 +0 843 0001000000000000001000001111111111000000000000000000000000000001 +0 844 0001000000000000001000001111111111000000000000000000000000000001 +0 845 0001000000000000001000001111111111000000000000000000000000000001 +0 846 0001000000000000001000001111111111000000000000000000000000000001 +0 847 0001000000000000001000001111111111000000000000000000000000000001 +0 848 0001000000000000001000001111111111000000000000000000000000000001 +0 849 0001000000000000001000001111111111000000000000000000000000000001 +0 850 0001000000000000001000001111111111000000000000000000000000000001 +0 851 0001000000000000001000001111111111000000000000000000000000000001 +0 852 0001000000000000001000001111111111000000000000000000000000000001 +0 853 0001000000000000001000001111111111000000000000000000000000000001 +0 854 0001000000000000001000001111111111000000000000000000000000000001 +0 855 0001000000000000001000001111111111000000000000000000000000000001 +0 856 0001000000000000001000001111111111000000000000000000000000000001 +0 857 0001000000000000001000001111111111000000000000000000000000000001 +0 858 0001000000000000001000001111111111000000000000000000000000000001 +0 859 0001000000000000001000001111111111000000000000000000000000000001 +0 860 0001000000000000001000001111111111000000000000000000000000000001 +0 861 0001000000000000001000001111111111000000000000000000000000000001 +0 862 0001000000000000001000001111111111000000000000000000000000000001 +0 863 0001000000000000001000001111111111000000000000000000000000000001 +0 864 0001000000000000001000001111111111000000000000000000000000000001 +0 865 0001000000000000001000001111111111000000000000000000000000000001 +0 866 0001000000000000001000001111111111000000000000000000000000000001 +0 867 0001000000000000001000001111111111000000000000000000000000000001 +0 868 0001000000000000001000001111111111000000000000000000000000000001 +0 869 0001000000000000001000001111111111000000000000000000000000000001 +0 870 0001000000000000001000001111111111000000000000000000000000000001 +0 871 0001000000000000001000001111111111000000000000000000000000000001 +0 872 0001000000000011001011101010001001000000000000000000000000000001 +0 873 0001000000000011001011101010001001000000000000000000000000000001 +0 874 0001000000000000001000001111111111000000000000000000000000000001 +0 875 0001000000000000001000001111111111000000000000000000000000000001 +0 876 0001000000000000001000001111111111000000000000000000000000000001 +0 877 0001000000000000001000001111111111000000000000000000000000000001 +0 878 0001000000000000001000001111111111000000000000000000000000000001 +0 879 0001000000000000001000001111111111000000000000000000000000000001 +0 880 0001000000000000001000001111111111000000000000000000000000000001 +0 881 0001000000000000001000001111111111000000000000000000000000000001 +0 882 0001000000000000001000001111111111000000000000000000000000000001 +0 883 0001000000000000001000001111111111000000000000000000000000000001 +0 884 0001000000000000001000001111111111000000000000000000000000000001 +0 885 0001000000000000001000001111111111000000000000000000000000000001 +0 886 0001000000000000001000001111111111000000000000000000000000000001 +0 887 0001000000000000001000001111111111000000000000000000000000000001 +0 888 0001000000000000001000001111111111000000000000000000000000000001 +0 889 0001000000000000001000001111111111000000000000000000000000000001 +0 890 0001000000000000001000001111111111000000000000000000000000000001 +0 891 0001000000000000001000001111111111000000000000000000000000000001 +0 892 0001000000000000001000001111111111000000000000000000000000000001 +0 893 0001000000000000001000001111111111000000000000000000000000000001 +0 894 0001000000000000001000001111111111000000000000000000000000000001 +0 895 0001000000000000001000001111111111000000000000000000000000000001 +0 896 0001000000000000001000001111111111000000000000000000000000000001 +0 897 0001000000000000001000001111111111000000000000000000000000000001 +0 898 0001000000000000001000001111111111000000000000000000000000000001 +0 899 0001000000000000001000001111111111000000000000000000000000000001 +0 900 0001000000000000001000001111111111000000000000000000000000000001 +0 901 0001000000000000001000001111111111000000000000000000000000000001 +0 902 0001000000000000001000001111111111000000000000000000000000000001 +0 903 0001000000000000001000001111111111000000000000000000000000000001 +0 904 0001000000000000001000001111111111000000000000000000000000000001 +0 905 0001000000000000001000001111111111000000000000000000000000000001 +0 906 0001000000000000001000001111111111000000000000000000000000000001 +0 907 0001000000000000001000001111111111000000000000000000000000000001 +0 908 0001000000000000001000001111111111000000000000000000000000000001 +0 909 0001000000000000001000001111111111000000000000000000000000000001 +0 910 0001000000000000001000001111111111000000000000000000000000000001 +0 911 0001000000000000001000001111111111000000000000000000000000000001 +0 912 0001000000000000001000001111111111000000000000000000000000000001 +0 913 0001000000000000001000001111111111000000000000000000000000000001 +0 914 0001000000000000001000001111111111000000000000000000000000000001 +0 915 0001000000000000001000001111111111000000000000000000000000000001 +0 916 0001000000000000001000001111111111000000000000000000000000000001 +0 917 0001000000000000001000001111111111000000000000000000000000000001 +0 918 0001000000000000001000001111111111000000000000000000000000000001 +0 919 0001000000000000001000001111111111000000000000000000000000000001 +0 920 0001000000000000001000001111111111000000000000000000000000000001 +0 921 0001000000000000001000001111111111000000000000000000000000000001 +0 922 0001000000000000001000001111111111000000000000000000000000000001 +0 923 0001000000000000001000001111111111000000000000000000000000000001 +0 924 0001000000000000001000001111111111000000000000000000000000000001 +0 925 0001000000000000001000001111111111000000000000000000000000000001 +0 926 0001000000000000001000001111111111000000000000000000000000000001 +0 927 0001000000000000001000001111111111000000000000000000000000000001 +0 928 0001000000000000001000001111111111000000000000000000000000000001 +0 929 0001000000000000001000001111111111000000000000000000000000000001 +0 930 0001000000000000001000001111111111000000000000000000000000000001 +0 931 0001000000000000001000001111111111000000000000000000000000000001 +0 932 0001000000000000001000001111111111000000000000000000000000000001 +0 933 0001000000000000001000001111111111000000000000000000000000000001 +0 934 0001000000000000001000001111111111000000000000000000000000000001 +0 935 0001000000000000001000001111111111000000000000000000000000000001 +0 936 0001000000000000001000001111111111000000000000000000000000000001 +0 937 0001000000000000001000001111111111000000000000000000000000000001 +0 938 0001000000000000001000001111111111000000000000000000000000000001 +0 939 0001000000000000001000001111111111000000000000000000000000000001 +0 940 0001000000000000001000001111111111000000000000000000000000000001 +0 941 0001000000000000001000001111111111000000000000000000000000000001 +0 942 0001000000000000001000001111111111000000000000000000000000000001 +0 943 0001000000000000001000001111111111000000000000000000000000000001 +0 944 0001000000000000001000001111111111000000000000000000000000000001 +0 945 0001000000000000001000001111111111000000000000000000000000000001 +0 946 0001000000000000001000001111111111000000000000000000000000000001 +0 947 0001000000000000001000001111111111000000000000000000000000000001 +0 948 0001000000000000001000001111111111000000000000000000000000000001 +0 949 0001000000000000001000001111111111000000000000000000000000000001 +0 950 0001000000000000001000001111111111000000000000000000000000000001 +0 951 0001000000000000001000001111111111000000000000000000000000000001 +0 952 0001000000000000001000001111111111000000000000000000000000000001 +0 953 0001000000000000001000001111111111000000000000000000000000000001 +0 954 0001000000000000001000001111111111000000000000000000000000000001 +0 955 0001000000000000001000001111111111000000000000000000000000000001 +0 956 0001000000000000001000001111111111000000000000000000000000000001 +0 957 0001000000000000001000001111111111000000000000000000000000000001 +0 958 0001000000000000001000001111111111000000000000000000000000000001 +0 959 0001000000000000001000001111111111000000000000000000000000000001 +0 960 0001000000000000001000001111111111000000000000000000000000000001 +0 961 0001000000000000001000001111111111000000000000000000000000000001 +0 962 0001000000000000001000001111111111000000000000000000000000000001 +0 963 0001000000000000001000001111111111000000000000000000000000000001 +0 964 0001000000000000001000001111111111000000000000000000000000000001 +0 965 0001000000000000001000001111111111000000000000000000000000000001 +0 966 0001000000000000001000001111111111000000000000000000000000000001 +0 967 0001000000000000001000001111111111000000000000000000000000000001 +0 968 0001000000000000001000001111111111000000000000000000000000000001 +0 969 0001000000000000001000001111111111000000000000000000000000000001 +0 970 0001000000000000001000001111111111000000000000000000000000000001 +0 971 0001000000000000001000001111111111000000000000000000000000000001 +0 972 0001000000000000001000001111111111000000000000000000000000000001 +0 973 0001000000000000001000001111111111000000000000000000000000000001 +0 974 0001000000000000001000001111111111000000000000000000000000000001 +0 975 0001000000000000001000001111111111000000000000000000000000000001 +0 976 0001000000000000001000001111111111000000000000000000000000000001 +0 977 0001000000000000001000001111111111000000000000000000000000000001 +0 978 0001000000000000001000001111111111000000000000000000000000000001 +0 979 0001000000000000001000001111111111000000000000000000000000000001 +0 980 0001000000000000001000001111111111000000000000000000000000000001 +0 981 0001000000000000001000001111111111000000000000000000000000000001 +0 982 0001000000000000001000001111111111000000000000000000000000000001 +0 983 0001000000000000001000001111111111000000000000000000000000000001 +0 984 0001000000000000001000001111111111000000000000000000000000000001 +0 985 0001000000000000001000001111111111000000000000000000000000000001 +0 986 0001000000000000001000001111111111000000000000000000000000000001 +0 987 0001000000000000001000001111111111000000000000000000000000000001 +0 988 0001000000000000001000001111111111000000000000000000000000000001 +0 989 0001000000000000001000001111111111000000000000000000000000000001 +0 990 0001000000000000001000001111111111000000000000000000000000000001 +0 991 0001000000000000001000001111111111000000000000000000000000000001 +0 992 0001000000000000001000001111111111000000000000000000000000000001 +0 993 0001000000000000001000001111111111000000000000000000000000000001 +0 994 0001000000000000001000001111111111000000000000000000000000000001 +0 995 0001000000000000001000001111111111000000000000000000000000000001 +0 996 0001000000000000001000001111111111000000000000000000000000000001 +0 997 0001000000000000001000001111111111000000000000000000000000000001 +0 998 0001000000000000001000001111111111000000000000000000000000000001 +0 999 0001000000000000001000001111111111000000000000000000000000000001 +0 1000 0001000000000000001000001111111111000000000000000000000000000001 +0 1001 0001000000000000001000001111111111000000000000000000000000000001 +0 1002 0001000000000000001000001111111111000000000000000000000000000001 +0 1003 0001000000000000001000001111111111000000000000000000000000000001 +0 1004 0001000000000000001000001111111111000000000000000000000000000001 +0 1005 0001000000000000001000001111111111000000000000000000000000000001 +0 1006 0001000000000000001000001111111111000000000000000000000000000001 +0 1007 0001000000000000001000001111111111000000000000000000000000000001 +0 1008 0001000000000000001000001111111111000000000000000000000000000001 +0 1009 0001000000000000001000001111111111000000000000000000000000000001 +0 1010 0001000000000000001000001111111111000000000000000000000000000001 +0 1011 0001000000000000001000001111111111000000000000000000000000000001 +0 1012 0001000000000000001000001111111111000000000000000000000000000001 +0 1013 0001000000000000001000001111111111000000000000000000000000000001 +0 1014 0001000000000000001000001111111111000000000000000000000000000001 +0 1015 0001000000000000001000001111111111000000000000000000000000000001 +0 1016 0001000000000000001000001111111111000000000000000000000000000001 +0 1017 0001000000000000001000001111111111000000000000000000000000000001 +0 1018 0001000000000000001000001111111111000000000000000000000000000001 +0 1019 0001000000000000001000001111111111000000000000000000000000000001 +0 1020 0001000000000000001000001111111111000000000000000000000000000001 +0 1021 0001000000000000001000001111111111000000000000000000000000000001 +0 1022 0001000000000000001000001111111111000000000000000000000000000001 +0 1023 0001000000000000001000001111111111000000000000000000000000000001 +1 0 0001000000000010000000001111111111000000000000000000000000000001 +1 1 0001000000000001100110101111111111000000000000000000000000000001 +1 2 0001000000000010000000001111111111000000000000000000000000000001 +1 3 0001000000000010000000001111111111000000000000000000000000000001 +1 4 0001000000000010000000001111111111000000000000000000000000000001 +1 5 0001000000000010000000001111111111000000000000000000000000000001 +1 6 0001000000000010000000001111111111000000000000000000000000000001 +1 7 0001000000000010000000001111111111000000000000000000000000000001 +1 8 0001000000000010110110111011111111000000000000000000000000000001 +1 9 0001000000000010000000001111111111000000000000000000000000000001 +1 10 0001000000000010110110111011111111000000000000000000000000000001 +1 11 0001000000000001100110101111111111000000000000000000000000000001 +1 12 0001000000000010000000001111111111000000000000000000000000000001 +1 13 0001000000000010000000001111111111000000000000000000000000000001 +1 14 0001000000000010110110111011111111000000000000000000000000000001 +1 15 0001000000000010000000001111111111000000000000000000000000000001 +1 16 0001000000000010000000001111111111000000000000000000000000000001 +1 17 0001000000000010000000001111111111000000000000000000000000000001 +1 18 0001000000000010000000001111111111000000000000000000000000000001 +1 19 0001000000000010000000001111111111000000000000000000000000000001 +1 20 0001000000000010000000001111111111000000000000000000000000000001 +1 21 0001000000000010000000001111111111000000000000000000000000000001 +1 22 0001000000000010000000001111111111000000000000000000000000000001 +1 23 0001000000000010000000001111111111000000000000000000000000000001 +1 24 0001000000000010000000001111111111000000000000000000000000000001 +1 25 0001000000000010110110111011111111000000000000000000000000000001 +1 26 0001000000000010000000001111111111000000000000000000000000000001 +1 27 0001000000000010110110111011111111000000000000000000000000000001 +1 28 0001000000000010000000001111111111000000000000000000000000000001 +1 29 0001000000000010110110111011111111000000000000000000000000000001 +1 30 0001000000000010000000001111111111000000000000000000000000000001 +1 31 0001000000000010110110111011111111000000000000000000000000000001 +1 32 0001000000000010000000001111111111000000000000000000000000000001 +1 33 0001000000000010000000001111111111000000000000000000000000000001 +1 34 0001000000000010000000001111111111000000000000000000000000000001 +1 35 0001000000000010000000001111111111000000000000000000000000000001 +1 36 0001000000000010000000001111111111000000000000000000000000000001 +1 37 0001000000000010000000001111111111000000000000000000000000000001 +1 38 0001000000000010000000001111111111000000000000000000000000000001 +1 39 0001000000000010000000001111111111000000000000000000000000000001 +1 40 0001000000000010000000001111111111000000000000000000000000000001 +1 41 0001000000000010110110111011111111000000000000000000000000000001 +1 42 0001000000000010000000001111111111000000000000000000000000000001 +1 43 0001000000000010110110111011111111000000000000000000000000000001 +1 44 0001000000000010000000001111111111000000000000000000000000000001 +1 45 0001000000000010110110111011111111000000000000000000000000000001 +1 46 0001000000000010000000001111111111000000000000000000000000000001 +1 47 0001000000000010110110111011111111000000000000000000000000000001 diff --git a/test/scripts/validate_results/test.log b/test/scripts/validate_results/test.log index c7e4d30..62df169 100644 --- a/test/scripts/validate_results/test.log +++ b/test/scripts/validate_results/test.log @@ -1,130 +1,2145 @@ -0 221 0001000000000010101100000000000000000000000000000000000000000001 +0 75 0001000000000011100101100000000000000000000000000000000000000001 ---> passed! -0 145 0001000000000010100110001011110010000000000000000000000000000001 +0 787 0001000000000001110010100000000000000000000000000000000000000001 ---> passed! -0 147 0001000000000010100110001011110010000000000000000000000000000001 +0 0 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 149 0001000000000010100110001011110010000000000000000000000000000001 +0 1 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 151 0001000000000010100110001011110010000000000000000000000000000001 +0 2 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 153 0001000000000010100110001011110010000000000000000000000000000001 +0 3 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 155 0001000000000010100110001011110010000000000000000000000000000001 +0 4 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 157 0001000000000010100110001011110010000000000000000000000000000001 +0 5 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 159 0001000000000010100110001011110010000000000000000000000000000001 +0 6 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 177 0001000000000010100110001011110010000000000000000000000000000001 +0 7 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 179 0001000000000010100110001011110010000000000000000000000000000001 +0 8 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 181 0001000000000010100110001011110010000000000000000000000000000001 +0 9 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 183 0001000000000010100110001011110010000000000000000000000000000001 +0 10 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 185 0001000000000010100110001011110010000000000000000000000000000001 +0 11 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 187 0001000000000010100110001011110010000000000000000000000000000001 +0 12 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 189 0001000000000010100110001011110010000000000000000000000000000001 +0 13 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 191 0001000000000010100110001011110010000000000000000000000000000001 +0 14 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 401 0001000000000010100110001011110010000000000000000000000000000001 +0 15 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 403 0001000000000010100110001011110010000000000000000000000000000001 +0 16 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 405 0001000000000010100110001011110010000000000000000000000000000001 +0 17 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 407 0001000000000010100110001011110010000000000000000000000000000001 +0 18 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 409 0001000000000010100110001011110010000000000000000000000000000001 +0 19 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 411 0001000000000010100110001011110010000000000000000000000000000001 +0 20 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 413 0001000000000010100110001011110010000000000000000000000000000001 +0 21 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 415 0001000000000010100110001011110010000000000000000000000000000001 +0 22 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 433 0001000000000010100110001011110010000000000000000000000000000001 +0 23 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 435 0001000000000010100110001011110010000000000000000000000000000001 +0 24 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 437 0001000000000010100110001011110010000000000000000000000000000001 +0 25 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 439 0001000000000010100110001011110010000000000000000000000000000001 +0 26 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 441 0001000000000010100110001011110010000000000000000000000000000001 +0 27 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 443 0001000000000010100110001011110010000000000000000000000000000001 +0 28 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 445 0001000000000010100110001011110010000000000000000000000000000001 +0 29 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 447 0001000000000010100110001011110010000000000000000000000000000001 +0 30 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 657 0001000000000010100110001011110010000000000000000000000000000001 +0 31 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 659 0001000000000010100110001011110010000000000000000000000000000001 +0 32 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 661 0001000000000010100110001011110010000000000000000000000000000001 +0 33 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 663 0001000000000010100110001011110010000000000000000000000000000001 +0 34 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 665 0001000000000010100110001011110010000000000000000000000000000001 +0 35 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 667 0001000000000010100110001011110010000000000000000000000000000001 +0 36 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 669 0001000000000010100110001011110010000000000000000000000000000001 +0 37 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 671 0001000000000010100110001011110010000000000000000000000000000001 +0 38 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 689 0001000000000010100110001011110010000000000000000000000000000001 +0 39 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 691 0001000000000010100110001011110010000000000000000000000000000001 +0 40 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 693 0001000000000010100110001011110010000000000000000000000000000001 +0 41 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 695 0001000000000010100110001011110010000000000000000000000000000001 +0 42 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 697 0001000000000010100110001011110010000000000000000000000000000001 +0 43 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 699 0001000000000010100110001011110010000000000000000000000000000001 +0 44 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 701 0001000000000010100110001011110010000000000000000000000000000001 +0 45 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 703 0001000000000010100110001011110010000000000000000000000000000001 +0 46 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 913 0001000000000010100110001011110010000000000000000000000000000001 +0 47 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 915 0001000000000010100110001011110010000000000000000000000000000001 +0 48 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 917 0001000000000010100110001011110010000000000000000000000000000001 +0 49 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 919 0001000000000010100110001011110010000000000000000000000000000001 +0 50 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 921 0001000000000010100110001011110010000000000000000000000000000001 +0 51 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 923 0001000000000010100110001011110010000000000000000000000000000001 +0 52 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 925 0001000000000010100110001011110010000000000000000000000000000001 +0 53 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 927 0001000000000010100110001011110010000000000000000000000000000001 +0 54 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 945 0001000000000010100110001011110010000000000000000000000000000001 +0 55 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 947 0001000000000010100110001011110010000000000000000000000000000001 +0 56 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 949 0001000000000010100110001011110010000000000000000000000000000001 +0 57 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 951 0001000000000010100110001011110010000000000000000000000000000001 +0 58 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 953 0001000000000010100110001011110010000000000000000000000000000001 +0 59 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 955 0001000000000010100110001011110010000000000000000000000000000001 +0 60 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 957 0001000000000010100110001011110010000000000000000000000000000001 +0 61 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 959 0001000000000010100110001011110010000000000000000000000000000001 +0 62 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 63 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 64 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 65 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 66 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 67 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 68 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 69 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 70 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 71 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 72 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 73 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 74 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 76 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 77 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 78 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 79 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 80 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 81 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 82 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 83 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 84 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 85 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 86 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 87 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 88 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 89 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 90 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 91 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 92 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 93 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 94 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 95 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 96 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 97 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 98 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 99 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 100 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 101 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 102 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 103 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 104 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 105 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 106 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 107 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 108 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 109 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 110 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 111 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 112 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 113 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 114 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 115 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 116 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 117 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 118 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 119 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 120 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 121 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 122 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 123 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 124 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 125 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 126 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 127 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 128 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 129 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 130 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 131 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 132 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 133 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 134 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 135 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 136 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 137 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 138 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 139 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 140 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 141 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 142 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 143 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 144 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 145 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 146 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 147 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 148 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 149 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 150 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 151 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 152 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 153 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 154 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 155 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 156 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 157 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 158 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 159 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 160 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 161 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 162 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 163 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 164 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 165 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 166 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 167 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 168 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 169 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 170 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 171 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 172 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 173 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 174 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 175 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 176 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 177 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 178 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 179 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 180 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 181 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 182 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 183 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 184 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 185 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 186 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 187 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 188 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 189 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 190 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 191 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 192 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 193 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 194 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 195 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 196 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 197 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 198 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 199 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 200 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 201 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 202 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 203 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 204 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 205 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 206 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 207 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 208 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 209 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 210 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 211 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 212 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 213 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 214 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 215 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 216 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 217 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 218 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 219 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 220 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 221 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 222 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 223 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 224 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 225 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 226 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 227 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 228 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 229 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 230 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 231 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 232 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 233 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 234 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 235 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 236 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 237 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 238 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 239 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 240 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 241 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 242 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 243 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 244 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 245 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 246 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 247 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 248 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 249 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 250 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 251 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 252 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 253 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 254 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 255 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 256 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 257 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 258 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 259 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 260 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 261 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 262 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 263 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 264 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 265 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 266 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 267 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 268 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 269 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 270 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 271 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 272 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 273 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 274 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 275 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 276 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 277 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 278 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 279 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 280 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 281 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 282 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 283 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 284 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 285 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 286 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 287 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 288 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 289 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 290 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 291 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 292 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 293 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 294 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 295 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 296 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 297 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 298 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 299 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 300 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 301 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 302 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 303 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 304 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 305 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 306 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 307 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 308 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 309 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 310 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 311 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 312 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 313 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 314 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 315 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 316 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 317 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 318 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 319 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 320 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 321 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 322 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 323 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 324 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 325 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 326 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 327 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 328 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 329 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 330 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 331 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 332 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 333 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 334 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 335 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 336 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 337 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 338 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 339 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 340 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 341 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 342 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 343 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 344 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 345 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 346 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 347 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 348 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 349 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 350 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 351 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 352 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 353 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 354 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 355 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 356 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 357 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 358 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 359 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 360 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 361 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 362 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 363 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 364 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 365 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 366 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 367 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 368 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 369 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 370 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 371 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 372 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 373 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 374 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 375 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 376 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 377 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 378 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 379 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 380 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 381 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 382 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 383 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 384 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 385 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 386 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 387 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 388 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 389 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 390 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 391 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 392 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 393 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 394 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 395 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 396 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 397 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 398 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 399 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 400 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 401 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 402 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 403 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 404 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 405 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 406 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 407 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 408 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 409 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 410 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 411 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 412 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 413 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 414 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 415 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 416 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 417 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 418 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 419 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 420 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 421 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 422 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 423 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 424 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 425 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 426 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 427 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 428 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 429 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 430 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 431 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 432 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 433 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 434 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 435 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 436 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 437 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 438 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 439 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 440 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 441 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 442 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 443 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 444 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 445 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 446 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 447 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 448 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 449 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 450 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 451 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 452 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 453 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 454 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 455 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 456 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 457 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 458 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 459 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 460 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 461 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 462 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 463 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 464 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 465 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 466 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 467 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 468 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 469 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 470 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 471 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 472 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 473 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 474 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 475 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 476 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 477 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 478 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 479 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 480 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 481 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 482 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 483 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 484 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 485 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 486 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 487 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 488 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 489 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 490 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 491 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 492 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 493 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 494 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 495 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 496 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 497 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 498 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 499 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 500 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 501 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 502 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 503 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 504 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 505 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 506 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 507 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 508 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 509 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 510 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 511 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 512 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 513 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 514 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 515 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 516 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 517 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 518 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 519 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 520 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 521 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 522 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 523 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 524 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 525 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 526 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 527 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 528 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 529 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 530 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 531 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 532 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 533 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 534 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 535 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 536 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 537 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 538 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 539 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 540 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 541 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 542 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 543 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 544 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 545 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 546 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 547 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 548 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 549 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 550 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 551 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 552 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 553 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 554 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 555 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 556 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 557 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 558 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 559 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 560 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 561 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 562 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 563 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 564 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 565 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 566 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 567 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 568 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 569 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 570 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 571 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 572 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 573 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 574 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 575 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 576 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 577 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 578 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 579 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 580 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 581 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 582 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 583 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 584 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 585 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 586 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 587 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 588 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 589 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 590 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 591 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 592 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 593 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 594 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 595 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 596 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 597 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 598 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 599 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 600 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 601 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 602 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 603 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 604 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 605 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 606 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 607 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 608 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 609 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 610 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 611 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 612 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 613 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 614 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 615 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 616 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 617 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 618 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 619 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 620 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 621 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 622 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 623 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 624 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 625 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 626 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 627 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 628 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 629 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 630 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 631 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 632 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 633 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 634 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 635 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 636 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 637 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 638 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 639 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 640 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 641 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 642 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 643 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 644 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 645 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 646 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 647 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 648 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 649 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 650 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 651 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 652 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 653 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 654 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 655 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 656 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 657 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 658 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 659 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 660 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 661 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 662 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 663 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 664 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 665 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 666 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 667 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 668 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 669 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 670 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 671 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 672 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 673 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 674 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 675 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 676 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 677 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 678 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 679 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 680 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 681 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 682 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 683 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 684 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 685 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 686 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 687 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 688 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 689 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 690 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 691 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 692 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 693 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 694 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 695 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 696 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 697 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 698 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 699 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 700 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 701 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 702 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 703 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 704 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 705 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 706 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 707 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 708 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 709 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 710 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 711 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 712 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 713 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 714 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 715 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 716 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 717 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 718 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 719 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 720 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 721 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 722 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 723 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 724 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 725 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 726 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 727 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 728 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 729 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 730 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 731 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 732 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 733 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 734 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 735 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 736 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 737 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 738 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 739 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 740 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 741 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 742 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 743 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 744 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 745 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 746 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 747 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 748 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 749 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 750 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 751 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 752 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 753 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 754 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 755 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 756 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 757 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 758 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 759 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 760 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 761 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 762 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 763 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 764 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 765 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 766 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 767 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 768 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 769 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 770 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 771 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 772 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 773 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 774 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 775 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 776 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 777 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 778 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 779 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 780 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 781 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 782 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 783 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 784 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 785 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 786 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 788 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 789 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 790 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 791 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 792 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 793 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 794 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 795 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 796 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 797 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 798 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 799 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 800 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 801 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 802 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 803 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 804 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 805 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 806 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 807 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 808 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 809 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 810 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 811 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 812 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 813 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 814 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 815 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 816 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 817 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 818 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 819 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 820 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 821 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 822 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 823 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 824 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 825 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 826 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 827 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 828 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 829 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 830 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 831 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 832 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 833 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 834 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 835 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 836 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 837 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 838 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 839 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 840 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 841 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 842 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 843 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 844 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 845 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 846 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 847 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 848 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 849 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 850 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 851 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 852 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 853 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 854 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 855 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 856 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 857 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 858 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 859 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 860 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 861 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 862 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 863 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 864 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 865 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 866 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 867 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 868 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 869 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 870 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 871 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 872 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 873 0001000000000011001011101010001001000000000000000000000000000001 +---> passed! +0 874 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 875 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 876 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 877 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 878 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 879 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 880 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 881 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 882 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 883 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 884 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 885 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 886 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 887 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 888 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 889 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 890 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 891 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 892 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 893 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 894 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 895 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 896 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 897 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 898 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 899 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 900 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 901 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 902 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 903 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 904 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 905 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 906 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 907 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 908 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 909 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 910 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 911 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 912 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 913 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 914 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 915 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 916 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 917 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 918 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 919 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 920 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 921 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 922 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 923 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 924 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 925 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 926 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 927 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 928 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 929 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 930 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 931 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 932 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 933 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 934 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 935 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 936 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 937 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 938 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 939 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 940 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 941 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 942 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 943 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 944 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 945 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 946 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 947 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 948 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 949 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 950 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 951 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 952 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 953 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 954 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 955 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 956 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 957 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 958 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 959 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 960 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 961 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 962 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 963 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 964 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 965 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 966 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 967 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 968 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 969 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 970 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 971 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 972 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 973 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 974 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 975 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 976 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 977 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 978 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 979 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 980 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 981 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 982 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 983 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 984 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 985 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 986 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 987 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 988 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 989 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 990 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 991 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 992 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 993 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 994 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 995 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 996 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 997 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 998 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 999 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1000 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1001 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1002 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1003 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1004 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1005 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1006 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1007 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1008 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1009 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1010 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1011 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1012 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1013 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1014 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1015 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1016 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1017 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1018 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1019 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1020 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1021 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1022 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +0 1023 0001000000000000001000001111111111000000000000000000000000000001 +---> passed! +1 0 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 1 0001000000000001100110101111111111000000000000000000000000000001 +---> failed! +1 2 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 3 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 4 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 5 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 6 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 7 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 8 0001000000000010110110111011111111000000000000000000000000000001 +---> failed! +1 9 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 10 0001000000000010110110111011111111000000000000000000000000000001 +---> failed! +1 11 0001000000000001100110101111111111000000000000000000000000000001 +---> failed! +1 12 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 13 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 14 0001000000000010110110111011111111000000000000000000000000000001 +---> failed! +1 15 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 16 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 17 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 18 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 19 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 20 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 21 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 22 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 23 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 24 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 25 0001000000000010110110111011111111000000000000000000000000000001 +---> failed! +1 26 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 27 0001000000000010110110111011111111000000000000000000000000000001 +---> failed! +1 28 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 29 0001000000000010110110111011111111000000000000000000000000000001 +---> failed! +1 30 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 31 0001000000000010110110111011111111000000000000000000000000000001 +---> failed! +1 32 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 33 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 34 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 35 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 36 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 37 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 38 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 39 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 40 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 41 0001000000000010110110111011111111000000000000000000000000000001 +---> failed! +1 42 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 43 0001000000000010110110111011111111000000000000000000000000000001 +---> failed! +1 44 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 45 0001000000000010110110111011111111000000000000000000000000000001 +---> failed! +1 46 0001000000000010000000001111111111000000000000000000000000000001 +---> failed! +1 47 0001000000000010110110111011111111000000000000000000000000000001 +---> failed! +One or more test failed :( diff --git a/test/scripts/validate_results/validate_results.py b/test/scripts/validate_results/validate_results.py index 9c416af..5363697 100644 --- a/test/scripts/validate_results/validate_results.py +++ b/test/scripts/validate_results/validate_results.py @@ -19,13 +19,20 @@ with open("simulation.log", "r") as f: for line in f: results.append(line) +all_test_passed = True test_logs = [] for result in results: if result in reference: test_logs.append(result + "---> passed!") else: + all_test_passed = False test_logs.append(result + "---> failed!") +if all_test_passed: + test_logs.append("All tests passed successfully :)") +else: + test_logs.append("One or more test failed :(") + with open("test.log", "w") as f: for test_log in test_logs: f.write(test_log+"\n") From a7e725ee99576348e41f7ec96c0806a10b4b57a8 Mon Sep 17 00:00:00 2001 From: Retrocamara42 Date: Thu, 12 Jun 2025 15:45:08 -0500 Subject: [PATCH 2/3] fix: error in test when assigning send_ack --- .../random_pe_to_pe_test/simulation.log | 2666 +++++++- .../noc_tests/random_pe_to_pe_test/test.log | 5756 +++++++++++------ test/noc_tb.vhdl | 30 +- test/scripts/validate_results/simulation.log | 2666 +++++++- test/scripts/validate_results/test.log | 5756 +++++++++++------ .../validate_results/validate_results.py | 4 +- 6 files changed, 12451 insertions(+), 4427 deletions(-) diff --git a/test/input/noc_tests/random_pe_to_pe_test/simulation.log b/test/input/noc_tests/random_pe_to_pe_test/simulation.log index 05b1b3a..87956b3 100644 --- a/test/input/noc_tests/random_pe_to_pe_test/simulation.log +++ b/test/input/noc_tests/random_pe_to_pe_test/simulation.log @@ -75,6 +75,7 @@ 0 72 0001000000000000001000001111111111000000000000000000000000000001 0 73 0001000000000000001000001111111111000000000000000000000000000001 0 74 0001000000000000001000001111111111000000000000000000000000000001 +0 75 0001000000000000001000001111111111000000000000000000000000000001 0 76 0001000000000000001000001111111111000000000000000000000000000001 0 77 0001000000000000001000001111111111000000000000000000000000000001 0 78 0001000000000000001000001111111111000000000000000000000000000001 @@ -265,8 +266,6 @@ 0 263 0001000000000000001000001111111111000000000000000000000000000001 0 264 0001000000000011001011101010001001000000000000000000000000000001 0 265 0001000000000011001011101010001001000000000000000000000000000001 -0 266 0001000000000000001000001111111111000000000000000000000000000001 -0 267 0001000000000000001000001111111111000000000000000000000000000001 0 268 0001000000000000001000001111111111000000000000000000000000000001 0 269 0001000000000000001000001111111111000000000000000000000000000001 0 270 0001000000000000001000001111111111000000000000000000000000000001 @@ -297,8 +296,6 @@ 0 295 0001000000000000001000001111111111000000000000000000000000000001 0 296 0001000000000011001011101010001001000000000000000000000000000001 0 297 0001000000000011001011101010001001000000000000000000000000000001 -0 298 0001000000000000001000001111111111000000000000000000000000000001 -0 299 0001000000000000001000001111111111000000000000000000000000000001 0 300 0001000000000000001000001111111111000000000000000000000000000001 0 301 0001000000000000001000001111111111000000000000000000000000000001 0 302 0001000000000000001000001111111111000000000000000000000000000001 @@ -329,8 +326,6 @@ 0 327 0001000000000000001000001111111111000000000000000000000000000001 0 328 0001000000000011001011101010001001000000000000000000000000000001 0 329 0001000000000011001011101010001001000000000000000000000000000001 -0 330 0001000000000000001000001111111111000000000000000000000000000001 -0 331 0001000000000000001000001111111111000000000000000000000000000001 0 332 0001000000000000001000001111111111000000000000000000000000000001 0 333 0001000000000000001000001111111111000000000000000000000000000001 0 334 0001000000000000001000001111111111000000000000000000000000000001 @@ -361,8 +356,6 @@ 0 359 0001000000000000001000001111111111000000000000000000000000000001 0 360 0001000000000011001011101010001001000000000000000000000000000001 0 361 0001000000000011001011101010001001000000000000000000000000000001 -0 362 0001000000000000001000001111111111000000000000000000000000000001 -0 363 0001000000000000001000001111111111000000000000000000000000000001 0 364 0001000000000000001000001111111111000000000000000000000000000001 0 365 0001000000000000001000001111111111000000000000000000000000000001 0 366 0001000000000000001000001111111111000000000000000000000000000001 @@ -777,8 +770,6 @@ 0 775 0001000000000000001000001111111111000000000000000000000000000001 0 776 0001000000000011001011101010001001000000000000000000000000000001 0 777 0001000000000011001011101010001001000000000000000000000000000001 -0 778 0001000000000000001000001111111111000000000000000000000000000001 -0 779 0001000000000000001000001111111111000000000000000000000000000001 0 780 0001000000000000001000001111111111000000000000000000000000000001 0 781 0001000000000000001000001111111111000000000000000000000000000001 0 782 0001000000000000001000001111111111000000000000000000000000000001 @@ -786,6 +777,7 @@ 0 784 0001000000000000001000001111111111000000000000000000000000000001 0 785 0001000000000000001000001111111111000000000000000000000000000001 0 786 0001000000000000001000001111111111000000000000000000000000000001 +0 787 0001000000000000001000001111111111000000000000000000000000000001 0 788 0001000000000000001000001111111111000000000000000000000000000001 0 789 0001000000000000001000001111111111000000000000000000000000000001 0 790 0001000000000000001000001111111111000000000000000000000000000001 @@ -808,8 +800,6 @@ 0 807 0001000000000000001000001111111111000000000000000000000000000001 0 808 0001000000000011001011101010001001000000000000000000000000000001 0 809 0001000000000011001011101010001001000000000000000000000000000001 -0 810 0001000000000000001000001111111111000000000000000000000000000001 -0 811 0001000000000000001000001111111111000000000000000000000000000001 0 812 0001000000000000001000001111111111000000000000000000000000000001 0 813 0001000000000000001000001111111111000000000000000000000000000001 0 814 0001000000000000001000001111111111000000000000000000000000000001 @@ -840,8 +830,6 @@ 0 839 0001000000000000001000001111111111000000000000000000000000000001 0 840 0001000000000011001011101010001001000000000000000000000000000001 0 841 0001000000000011001011101010001001000000000000000000000000000001 -0 842 0001000000000000001000001111111111000000000000000000000000000001 -0 843 0001000000000000001000001111111111000000000000000000000000000001 0 844 0001000000000000001000001111111111000000000000000000000000000001 0 845 0001000000000000001000001111111111000000000000000000000000000001 0 846 0001000000000000001000001111111111000000000000000000000000000001 @@ -872,8 +860,6 @@ 0 871 0001000000000000001000001111111111000000000000000000000000000001 0 872 0001000000000011001011101010001001000000000000000000000000000001 0 873 0001000000000011001011101010001001000000000000000000000000000001 -0 874 0001000000000000001000001111111111000000000000000000000000000001 -0 875 0001000000000000001000001111111111000000000000000000000000000001 0 876 0001000000000000001000001111111111000000000000000000000000000001 0 877 0001000000000000001000001111111111000000000000000000000000000001 0 878 0001000000000000001000001111111111000000000000000000000000000001 @@ -1022,51 +1008,2603 @@ 0 1021 0001000000000000001000001111111111000000000000000000000000000001 0 1022 0001000000000000001000001111111111000000000000000000000000000001 0 1023 0001000000000000001000001111111111000000000000000000000000000001 -1 0 0001000000000010000000001111111111000000000000000000000000000001 -1 1 0001000000000001100110101111111111000000000000000000000000000001 -1 2 0001000000000010000000001111111111000000000000000000000000000001 -1 3 0001000000000010000000001111111111000000000000000000000000000001 -1 4 0001000000000010000000001111111111000000000000000000000000000001 -1 5 0001000000000010000000001111111111000000000000000000000000000001 -1 6 0001000000000010000000001111111111000000000000000000000000000001 -1 7 0001000000000010000000001111111111000000000000000000000000000001 -1 8 0001000000000010110110111011111111000000000000000000000000000001 -1 9 0001000000000010000000001111111111000000000000000000000000000001 -1 10 0001000000000010110110111011111111000000000000000000000000000001 -1 11 0001000000000001100110101111111111000000000000000000000000000001 -1 12 0001000000000010000000001111111111000000000000000000000000000001 -1 13 0001000000000010000000001111111111000000000000000000000000000001 -1 14 0001000000000010110110111011111111000000000000000000000000000001 -1 15 0001000000000010000000001111111111000000000000000000000000000001 -1 16 0001000000000010000000001111111111000000000000000000000000000001 -1 17 0001000000000010000000001111111111000000000000000000000000000001 -1 18 0001000000000010000000001111111111000000000000000000000000000001 -1 19 0001000000000010000000001111111111000000000000000000000000000001 -1 20 0001000000000010000000001111111111000000000000000000000000000001 -1 21 0001000000000010000000001111111111000000000000000000000000000001 -1 22 0001000000000010000000001111111111000000000000000000000000000001 -1 23 0001000000000010000000001111111111000000000000000000000000000001 -1 24 0001000000000010000000001111111111000000000000000000000000000001 -1 25 0001000000000010110110111011111111000000000000000000000000000001 -1 26 0001000000000010000000001111111111000000000000000000000000000001 -1 27 0001000000000010110110111011111111000000000000000000000000000001 -1 28 0001000000000010000000001111111111000000000000000000000000000001 -1 29 0001000000000010110110111011111111000000000000000000000000000001 -1 30 0001000000000010000000001111111111000000000000000000000000000001 -1 31 0001000000000010110110111011111111000000000000000000000000000001 -1 32 0001000000000010000000001111111111000000000000000000000000000001 -1 33 0001000000000010000000001111111111000000000000000000000000000001 -1 34 0001000000000010000000001111111111000000000000000000000000000001 -1 35 0001000000000010000000001111111111000000000000000000000000000001 -1 36 0001000000000010000000001111111111000000000000000000000000000001 -1 37 0001000000000010000000001111111111000000000000000000000000000001 -1 38 0001000000000010000000001111111111000000000000000000000000000001 -1 39 0001000000000010000000001111111111000000000000000000000000000001 -1 40 0001000000000010000000001111111111000000000000000000000000000001 -1 41 0001000000000010110110111011111111000000000000000000000000000001 -1 42 0001000000000010000000001111111111000000000000000000000000000001 -1 43 0001000000000010110110111011111111000000000000000000000000000001 -1 44 0001000000000010000000001111111111000000000000000000000000000001 -1 45 0001000000000010110110111011111111000000000000000000000000000001 -1 46 0001000000000010000000001111111111000000000000000000000000000001 -1 47 0001000000000010110110111011111111000000000000000000000000000001 +0 266 0001000000000000001000001111111111000000000000000000000000000001 +0 267 0001000000000000001000001111111111000000000000000000000000000001 +0 298 0001000000000000001000001111111111000000000000000000000000000001 +0 299 0001000000000000001000001111111111000000000000000000000000000001 +0 330 0001000000000000001000001111111111000000000000000000000000000001 +0 331 0001000000000000001000001111111111000000000000000000000000000001 +0 362 0001000000000000001000001111111111000000000000000000000000000001 +0 363 0001000000000000001000001111111111000000000000000000000000000001 +0 778 0001000000000000001000001111111111000000000000000000000000000001 +0 779 0001000000000000001000001111111111000000000000000000000000000001 +0 810 0001000000000000001000001111111111000000000000000000000000000001 +0 811 0001000000000000001000001111111111000000000000000000000000000001 +0 842 0001000000000000001000001111111111000000000000000000000000000001 +0 843 0001000000000000001000001111111111000000000000000000000000000001 +0 874 0001000000000000001000001111111111000000000000000000000000000001 +0 875 0001000000000000001000001111111111000000000000000000000000000001 +0 0 0001000000000001100110101111111111000000000000000000000000000001 +0 1 0001000000000001100110101111111111000000000000000000000000000001 +0 2 0001000000000001100110101111111111000000000000000000000000000001 +0 3 0001000000000001100110101111111111000000000000000000000000000001 +0 4 0001000000000001100110101111111111000000000000000000000000000001 +0 5 0001000000000001100110101111111111000000000000000000000000000001 +0 6 0001000000000001100110101111111111000000000000000000000000000001 +0 7 0001000000000001100110101111111111000000000000000000000000000001 +0 8 0001000000000001100110101111111111000000000000000000000000000001 +0 9 0001000000000001100110101111111111000000000000000000000000000001 +0 10 0001000000000001100110101111111111000000000000000000000000000001 +0 11 0001000000000001100110101111111111000000000000000000000000000001 +0 12 0001000000000001100110101111111111000000000000000000000000000001 +0 13 0001000000000001100110101111111111000000000000000000000000000001 +0 14 0001000000000001100110101111111111000000000000000000000000000001 +0 15 0001000000000001100110101111111111000000000000000000000000000001 +0 16 0001000000000001100110101111111111000000000000000000000000000001 +0 17 0001000000000001100110101111111111000000000000000000000000000001 +0 18 0001000000000001100110101111111111000000000000000000000000000001 +0 19 0001000000000001100110101111111111000000000000000000000000000001 +0 20 0001000000000001100110101111111111000000000000000000000000000001 +0 21 0001000000000001100110101111111111000000000000000000000000000001 +0 22 0001000000000001100110101111111111000000000000000000000000000001 +0 23 0001000000000001100110101111111111000000000000000000000000000001 +0 24 0001000000000001100110101111111111000000000000000000000000000001 +0 25 0001000000000001100110101111111111000000000000000000000000000001 +0 26 0001000000000001100110101111111111000000000000000000000000000001 +0 27 0001000000000001100110101111111111000000000000000000000000000001 +0 28 0001000000000001100110101111111111000000000000000000000000000001 +0 29 0001000000000001100110101111111111000000000000000000000000000001 +0 30 0001000000000001100110101111111111000000000000000000000000000001 +0 31 0001000000000001100110101111111111000000000000000000000000000001 +0 32 0001000000000010000000001111111111000000000000000000000000000001 +0 33 0001000000000010000000001111111111000000000000000000000000000001 +0 34 0001000000000010000000001111111111000000000000000000000000000001 +0 35 0001000000000010000000001111111111000000000000000000000000000001 +0 36 0001000000000010000000001111111111000000000000000000000000000001 +0 37 0001000000000010000000001111111111000000000000000000000000000001 +0 38 0001000000000010000000001111111111000000000000000000000000000001 +0 39 0001000000000010000000001111111111000000000000000000000000000001 +0 40 0001000000000010000000001111111111000000000000000000000000000001 +0 41 0001000000000010000000001111111111000000000000000000000000000001 +0 42 0001000000000010000000001111111111000000000000000000000000000001 +0 43 0001000000000010000000001111111111000000000000000000000000000001 +0 46 0001000000000010000011000100010001000000000000000000000000000001 +0 47 0001000000000010000011000100010001000000000000000000000000000001 +0 48 0001000000000001100110101111111111000000000000000000000000000001 +0 49 0001000000000001100110101111111111000000000000000000000000000001 +0 50 0001000000000001100110101111111111000000000000000000000000000001 +0 51 0001000000000001100110101111111111000000000000000000000000000001 +0 52 0001000000000001100110101111111111000000000000000000000000000001 +0 53 0001000000000001100110101111111111000000000000000000000000000001 +0 54 0001000000000001100110101111111111000000000000000000000000000001 +0 55 0001000000000001100110101111111111000000000000000000000000000001 +0 56 0001000000000001100110101111111111000000000000000000000000000001 +0 57 0001000000000001100110101111111111000000000000000000000000000001 +0 58 0001000000000001100110101111111111000000000000000000000000000001 +0 59 0001000000000001100110101111111111000000000000000000000000000001 +0 60 0001000000000001100110101111111111000000000000000000000000000001 +0 61 0001000000000001100110101111111111000000000000000000000000000001 +0 62 0001000000000001100110101111111111000000000000000000000000000001 +0 63 0001000000000001100110101111111111000000000000000000000000000001 +0 64 0001000000000001100110101111111111000000000000000000000000000001 +0 65 0001000000000001100110101111111111000000000000000000000000000001 +0 66 0001000000000001100110101111111111000000000000000000000000000001 +0 67 0001000000000001100110101111111111000000000000000000000000000001 +0 68 0001000000000001100110101111111111000000000000000000000000000001 +0 69 0001000000000001100110101111111111000000000000000000000000000001 +0 70 0001000000000001100110101111111111000000000000000000000000000001 +0 71 0001000000000001100110101111111111000000000000000000000000000001 +0 72 0001000000000001100110101111111111000000000000000000000000000001 +0 73 0001000000000001100110101111111111000000000000000000000000000001 +0 74 0001000000000001100110101111111111000000000000000000000000000001 +0 75 0001000000000001100110101111111111000000000000000000000000000001 +0 76 0001000000000001100110101111111111000000000000000000000000000001 +0 77 0001000000000001100110101111111111000000000000000000000000000001 +0 78 0001000000000001100110101111111111000000000000000000000000000001 +0 79 0001000000000001100110101111111111000000000000000000000000000001 +0 80 0001000000000001100110101111111111000000000000000000000000000001 +0 81 0001000000000001100110101111111111000000000000000000000000000001 +0 82 0001000000000001100110101111111111000000000000000000000000000001 +0 83 0001000000000001100110101111111111000000000000000000000000000001 +0 84 0001000000000001100110101111111111000000000000000000000000000001 +0 85 0001000000000001100110101111111111000000000000000000000000000001 +0 86 0001000000000001100110101111111111000000000000000000000000000001 +0 87 0001000000000001100110101111111111000000000000000000000000000001 +0 88 0001000000000001100110101111111111000000000000000000000000000001 +0 89 0001000000000001100110101111111111000000000000000000000000000001 +0 90 0001000000000001100110101111111111000000000000000000000000000001 +0 91 0001000000000001100110101111111111000000000000000000000000000001 +0 92 0001000000000001100110101111111111000000000000000000000000000001 +0 93 0001000000000001100110101111111111000000000000000000000000000001 +0 94 0001000000000001100110101111111111000000000000000000000000000001 +0 95 0001000000000001100110101111111111000000000000000000000000000001 +0 96 0001000000000001100110101111111111000000000000000000000000000001 +0 97 0001000000000001100110101111111111000000000000000000000000000001 +0 98 0001000000000001100110101111111111000000000000000000000000000001 +0 99 0001000000000001100110101111111111000000000000000000000000000001 +0 100 0001000000000001100110101111111111000000000000000000000000000001 +0 101 0001000000000001100110101111111111000000000000000000000000000001 +0 102 0001000000000001100110101111111111000000000000000000000000000001 +0 103 0001000000000001100110101111111111000000000000000000000000000001 +0 104 0001000000000001100110101111111111000000000000000000000000000001 +0 105 0001000000000001100110101111111111000000000000000000000000000001 +0 106 0001000000000001100110101111111111000000000000000000000000000001 +0 107 0001000000000001100110101111111111000000000000000000000000000001 +0 108 0001000000000001100110101111111111000000000000000000000000000001 +0 109 0001000000000001100110101111111111000000000000000000000000000001 +0 110 0001000000000001100110101111111111000000000000000000000000000001 +0 111 0001000000000001100110101111111111000000000000000000000000000001 +0 112 0001000000000001100110101111111111000000000000000000000000000001 +0 113 0001000000000001100110101111111111000000000000000000000000000001 +0 114 0001000000000001100110101111111111000000000000000000000000000001 +0 115 0001000000000001100110101111111111000000000000000000000000000001 +0 116 0001000000000001100110101111111111000000000000000000000000000001 +0 117 0001000000000001100110101111111111000000000000000000000000000001 +0 118 0001000000000001100110101111111111000000000000000000000000000001 +0 119 0001000000000001100110101111111111000000000000000000000000000001 +0 120 0001000000000001100110101111111111000000000000000000000000000001 +0 121 0001000000000001100110101111111111000000000000000000000000000001 +0 122 0001000000000001100110101111111111000000000000000000000000000001 +0 123 0001000000000001100110101111111111000000000000000000000000000001 +0 124 0001000000000001100110101111111111000000000000000000000000000001 +0 125 0001000000000001100110101111111111000000000000000000000000000001 +0 126 0001000000000001100110101111111111000000000000000000000000000001 +0 127 0001000000000001100110101111111111000000000000000000000000000001 +0 128 0001000000000001100110101111111111000000000000000000000000000001 +0 129 0001000000000001100110101111111111000000000000000000000000000001 +0 130 0001000000000001100110101111111111000000000000000000000000000001 +0 131 0001000000000001100110101111111111000000000000000000000000000001 +0 132 0001000000000001100110101111111111000000000000000000000000000001 +0 133 0001000000000001100110101111111111000000000000000000000000000001 +0 134 0001000000000001100110101111111111000000000000000000000000000001 +0 135 0001000000000001100110101111111111000000000000000000000000000001 +0 136 0001000000000001100110101111111111000000000000000000000000000001 +0 137 0001000000000001100110101111111111000000000000000000000000000001 +0 138 0001000000000001100110101111111111000000000000000000000000000001 +0 139 0001000000000001100110101111111111000000000000000000000000000001 +0 140 0001000000000001100110101111111111000000000000000000000000000001 +0 141 0001000000000001100110101111111111000000000000000000000000000001 +0 142 0001000000000001100110101111111111000000000000000000000000000001 +0 143 0001000000000001100110101111111111000000000000000000000000000001 +0 144 0001000000000001100110101111111111000000000000000000000000000001 +0 145 0001000000000001100110101111111111000000000000000000000000000001 +0 146 0001000000000001100110101111111111000000000000000000000000000001 +0 147 0001000000000001100110101111111111000000000000000000000000000001 +0 148 0001000000000001100110101111111111000000000000000000000000000001 +0 149 0001000000000001100110101111111111000000000000000000000000000001 +0 150 0001000000000001100110101111111111000000000000000000000000000001 +0 151 0001000000000001100110101111111111000000000000000000000000000001 +0 152 0001000000000001100110101111111111000000000000000000000000000001 +0 153 0001000000000001100110101111111111000000000000000000000000000001 +0 154 0001000000000001100110101111111111000000000000000000000000000001 +0 155 0001000000000001100110101111111111000000000000000000000000000001 +0 156 0001000000000001100110101111111111000000000000000000000000000001 +0 157 0001000000000001100110101111111111000000000000000000000000000001 +0 158 0001000000000001100110101111111111000000000000000000000000000001 +0 159 0001000000000001100110101111111111000000000000000000000000000001 +0 160 0001000000000010000000001111111111000000000000000000000000000001 +0 161 0001000000000010000000001111111111000000000000000000000000000001 +0 162 0001000000000010000000001111111111000000000000000000000000000001 +0 163 0001000000000010000000001111111111000000000000000000000000000001 +0 164 0001000000000010000000001111111111000000000000000000000000000001 +0 165 0001000000000010000000001111111111000000000000000000000000000001 +0 166 0001000000000010000000001111111111000000000000000000000000000001 +0 167 0001000000000010000000001111111111000000000000000000000000000001 +0 168 0001000000000010000000001111111111000000000000000000000000000001 +0 169 0001000000000010000000001111111111000000000000000000000000000001 +0 170 0001000000000010000000001111111111000000000000000000000000000001 +0 171 0001000000000010000000001111111111000000000000000000000000000001 +0 174 0001000000000010000011000100010001000000000000000000000000000001 +0 175 0001000000000010000011000100010001000000000000000000000000000001 +0 176 0001000000000001100110101111111111000000000000000000000000000001 +0 177 0001000000000001100110101111111111000000000000000000000000000001 +0 178 0001000000000001100110101111111111000000000000000000000000000001 +0 179 0001000000000001100110101111111111000000000000000000000000000001 +0 180 0001000000000001100110101111111111000000000000000000000000000001 +0 181 0001000000000001100110101111111111000000000000000000000000000001 +0 182 0001000000000001100110101111111111000000000000000000000000000001 +0 183 0001000000000001100110101111111111000000000000000000000000000001 +0 184 0001000000000001100110101111111111000000000000000000000000000001 +0 185 0001000000000001100110101111111111000000000000000000000000000001 +0 186 0001000000000001100110101111111111000000000000000000000000000001 +0 187 0001000000000001100110101111111111000000000000000000000000000001 +0 188 0001000000000001100110101111111111000000000000000000000000000001 +0 189 0001000000000001100110101111111111000000000000000000000000000001 +0 190 0001000000000001100110101111111111000000000000000000000000000001 +0 191 0001000000000001100110101111111111000000000000000000000000000001 +0 192 0001000000000001100110101111111111000000000000000000000000000001 +0 193 0001000000000001100110101111111111000000000000000000000000000001 +0 194 0001000000000001100110101111111111000000000000000000000000000001 +0 195 0001000000000001100110101111111111000000000000000000000000000001 +0 196 0001000000000001100110101111111111000000000000000000000000000001 +0 197 0001000000000001100110101111111111000000000000000000000000000001 +0 198 0001000000000001100110101111111111000000000000000000000000000001 +0 199 0001000000000001100110101111111111000000000000000000000000000001 +0 200 0001000000000001100110101111111111000000000000000000000000000001 +0 201 0001000000000001100110101111111111000000000000000000000000000001 +0 202 0001000000000001100110101111111111000000000000000000000000000001 +0 203 0001000000000001100110101111111111000000000000000000000000000001 +0 204 0001000000000001100110101111111111000000000000000000000000000001 +0 205 0001000000000001100110101111111111000000000000000000000000000001 +0 206 0001000000000001100110101111111111000000000000000000000000000001 +0 207 0001000000000001100110101111111111000000000000000000000000000001 +0 208 0001000000000001100110101111111111000000000000000000000000000001 +0 209 0001000000000001100110101111111111000000000000000000000000000001 +0 210 0001000000000001100110101111111111000000000000000000000000000001 +0 211 0001000000000001100110101111111111000000000000000000000000000001 +0 212 0001000000000001100110101111111111000000000000000000000000000001 +0 213 0001000000000001100110101111111111000000000000000000000000000001 +0 214 0001000000000001100110101111111111000000000000000000000000000001 +0 215 0001000000000001100110101111111111000000000000000000000000000001 +0 216 0001000000000001100110101111111111000000000000000000000000000001 +0 217 0001000000000001100110101111111111000000000000000000000000000001 +0 218 0001000000000001100110101111111111000000000000000000000000000001 +0 219 0001000000000001100110101111111111000000000000000000000000000001 +0 220 0001000000000001100110101111111111000000000000000000000000000001 +0 221 0001000000000001100110101111111111000000000000000000000000000001 +0 222 0001000000000001100110101111111111000000000000000000000000000001 +0 223 0001000000000001100110101111111111000000000000000000000000000001 +0 224 0001000000000001100110101111111111000000000000000000000000000001 +0 225 0001000000000001100110101111111111000000000000000000000000000001 +0 226 0001000000000001100110101111111111000000000000000000000000000001 +0 227 0001000000000001100110101111111111000000000000000000000000000001 +0 228 0001000000000001100110101111111111000000000000000000000000000001 +0 229 0001000000000001100110101111111111000000000000000000000000000001 +0 230 0001000000000001100110101111111111000000000000000000000000000001 +0 231 0001000000000001100110101111111111000000000000000000000000000001 +0 232 0001000000000001100110101111111111000000000000000000000000000001 +0 233 0001000000000001100110101111111111000000000000000000000000000001 +0 234 0001000000000001100110101111111111000000000000000000000000000001 +0 235 0001000000000001100110101111111111000000000000000000000000000001 +0 236 0001000000000001100110101111111111000000000000000000000000000001 +0 237 0001000000000001100110101111111111000000000000000000000000000001 +0 238 0001000000000001100110101111111111000000000000000000000000000001 +0 239 0001000000000001100110101111111111000000000000000000000000000001 +0 240 0001000000000001100110101111111111000000000000000000000000000001 +0 241 0001000000000001100110101111111111000000000000000000000000000001 +0 242 0001000000000001100110101111111111000000000000000000000000000001 +0 243 0001000000000001100110101111111111000000000000000000000000000001 +0 244 0001000000000001100110101111111111000000000000000000000000000001 +0 245 0001000000000001100110101111111111000000000000000000000000000001 +0 246 0001000000000001100110101111111111000000000000000000000000000001 +0 247 0001000000000001100110101111111111000000000000000000000000000001 +0 248 0001000000000001100110101111111111000000000000000000000000000001 +0 249 0001000000000001100110101111111111000000000000000000000000000001 +0 250 0001000000000001100110101111111111000000000000000000000000000001 +0 251 0001000000000001100110101111111111000000000000000000000000000001 +0 252 0001000000000001100110101111111111000000000000000000000000000001 +0 253 0001000000000001100110101111111111000000000000000000000000000001 +0 254 0001000000000001100110101111111111000000000000000000000000000001 +0 255 0001000000000001100110101111111111000000000000000000000000000001 +0 264 0001000000000000001000001111111111000000000000000000000000000001 +0 265 0001000000000000001000001111111111000000000000000000000000000001 +0 272 0001000000000001100110101111111111000000000000000000000000000001 +0 273 0001000000000001100110101111111111000000000000000000000000000001 +0 274 0001000000000001100110101111111111000000000000000000000000000001 +0 275 0001000000000001100110101111111111000000000000000000000000000001 +0 276 0001000000000001100110101111111111000000000000000000000000000001 +0 277 0001000000000001100110101111111111000000000000000000000000000001 +0 278 0001000000000001100110101111111111000000000000000000000000000001 +0 279 0001000000000001100110101111111111000000000000000000000000000001 +0 280 0001000000000001100110101111111111000000000000000000000000000001 +0 281 0001000000000001100110101111111111000000000000000000000000000001 +0 282 0001000000000001100110101111111111000000000000000000000000000001 +0 283 0001000000000001100110101111111111000000000000000000000000000001 +0 284 0001000000000001100110101111111111000000000000000000000000000001 +0 285 0001000000000001100110101111111111000000000000000000000000000001 +0 286 0001000000000001100110101111111111000000000000000000000000000001 +0 287 0001000000000001100110101111111111000000000000000000000000000001 +0 296 0001000000000000001000001111111111000000000000000000000000000001 +0 297 0001000000000000001000001111111111000000000000000000000000000001 +0 302 0001000000000010000011000100010001000000000000000000000000000001 +0 303 0001000000000010000011000100010001000000000000000000000000000001 +0 304 0001000000000001100110101111111111000000000000000000000000000001 +0 305 0001000000000001100110101111111111000000000000000000000000000001 +0 306 0001000000000001100110101111111111000000000000000000000000000001 +0 307 0001000000000001100110101111111111000000000000000000000000000001 +0 308 0001000000000001100110101111111111000000000000000000000000000001 +0 309 0001000000000001100110101111111111000000000000000000000000000001 +0 310 0001000000000001100110101111111111000000000000000000000000000001 +0 311 0001000000000001100110101111111111000000000000000000000000000001 +0 312 0001000000000001100110101111111111000000000000000000000000000001 +0 313 0001000000000001100110101111111111000000000000000000000000000001 +0 314 0001000000000001100110101111111111000000000000000000000000000001 +0 315 0001000000000001100110101111111111000000000000000000000000000001 +0 316 0001000000000001100110101111111111000000000000000000000000000001 +0 317 0001000000000001100110101111111111000000000000000000000000000001 +0 318 0001000000000001100110101111111111000000000000000000000000000001 +0 319 0001000000000001100110101111111111000000000000000000000000000001 +0 320 0001000000000010000000001111111111000000000000000000000000000001 +0 321 0001000000000010000000001111111111000000000000000000000000000001 +0 322 0001000000000010000000001111111111000000000000000000000000000001 +0 323 0001000000000010000000001111111111000000000000000000000000000001 +0 324 0001000000000010000000001111111111000000000000000000000000000001 +0 325 0001000000000010000000001111111111000000000000000000000000000001 +0 326 0001000000000010000000001111111111000000000000000000000000000001 +0 327 0001000000000010000000001111111111000000000000000000000000000001 +0 328 0001000000000000001000001111111111000000000000000000000000000001 +0 329 0001000000000000001000001111111111000000000000000000000000000001 +0 332 0001000000000010000000001111111111000000000000000000000000000001 +0 333 0001000000000010000000001111111111000000000000000000000000000001 +0 334 0001000000000010000000001111111111000000000000000000000000000001 +0 335 0001000000000010000000001111111111000000000000000000000000000001 +0 336 0001000000000001100110101111111111000000000000000000000000000001 +0 337 0001000000000001100110101111111111000000000000000000000000000001 +0 338 0001000000000001100110101111111111000000000000000000000000000001 +0 339 0001000000000001100110101111111111000000000000000000000000000001 +0 340 0001000000000001100110101111111111000000000000000000000000000001 +0 341 0001000000000001100110101111111111000000000000000000000000000001 +0 342 0001000000000001100110101111111111000000000000000000000000000001 +0 343 0001000000000001100110101111111111000000000000000000000000000001 +0 344 0001000000000001100110101111111111000000000000000000000000000001 +0 345 0001000000000001100110101111111111000000000000000000000000000001 +0 346 0001000000000001100110101111111111000000000000000000000000000001 +0 347 0001000000000001100110101111111111000000000000000000000000000001 +0 348 0001000000000001100110101111111111000000000000000000000000000001 +0 349 0001000000000001100110101111111111000000000000000000000000000001 +0 350 0001000000000001100110101111111111000000000000000000000000000001 +0 351 0001000000000001100110101111111111000000000000000000000000000001 +0 352 0001000000000010000000001111111111000000000000000000000000000001 +0 353 0001000000000010000000001111111111000000000000000000000000000001 +0 354 0001000000000010000000001111111111000000000000000000000000000001 +0 355 0001000000000010000000001111111111000000000000000000000000000001 +0 356 0001000000000010000000001111111111000000000000000000000000000001 +0 357 0001000000000010000000001111111111000000000000000000000000000001 +0 358 0001000000000010000000001111111111000000000000000000000000000001 +0 359 0001000000000010000000001111111111000000000000000000000000000001 +0 360 0001000000000000001000001111111111000000000000000000000000000001 +0 361 0001000000000000001000001111111111000000000000000000000000000001 +0 364 0001000000000010000000001111111111000000000000000000000000000001 +0 365 0001000000000010000000001111111111000000000000000000000000000001 +0 366 0001000000000010000000001111111111000000000000000000000000000001 +0 367 0001000000000010000000001111111111000000000000000000000000000001 +0 368 0001000000000001100110101111111111000000000000000000000000000001 +0 369 0001000000000001100110101111111111000000000000000000000000000001 +0 370 0001000000000001100110101111111111000000000000000000000000000001 +0 371 0001000000000001100110101111111111000000000000000000000000000001 +0 372 0001000000000001100110101111111111000000000000000000000000000001 +0 373 0001000000000001100110101111111111000000000000000000000000000001 +0 374 0001000000000001100110101111111111000000000000000000000000000001 +0 375 0001000000000001100110101111111111000000000000000000000000000001 +0 376 0001000000000001100110101111111111000000000000000000000000000001 +0 377 0001000000000001100110101111111111000000000000000000000000000001 +0 378 0001000000000001100110101111111111000000000000000000000000000001 +0 379 0001000000000001100110101111111111000000000000000000000000000001 +0 380 0001000000000001100110101111111111000000000000000000000000000001 +0 381 0001000000000001100110101111111111000000000000000000000000000001 +0 382 0001000000000001100110101111111111000000000000000000000000000001 +0 383 0001000000000001100110101111111111000000000000000000000000000001 +0 384 0001000000000001100110101111111111000000000000000000000000000001 +0 385 0001000000000001100110101111111111000000000000000000000000000001 +0 386 0001000000000001100110101111111111000000000000000000000000000001 +0 387 0001000000000001100110101111111111000000000000000000000000000001 +0 388 0001000000000001100110101111111111000000000000000000000000000001 +0 389 0001000000000001100110101111111111000000000000000000000000000001 +0 390 0001000000000001100110101111111111000000000000000000000000000001 +0 391 0001000000000001100110101111111111000000000000000000000000000001 +0 392 0001000000000001100110101111111111000000000000000000000000000001 +0 393 0001000000000001100110101111111111000000000000000000000000000001 +0 394 0001000000000001100110101111111111000000000000000000000000000001 +0 395 0001000000000001100110101111111111000000000000000000000000000001 +0 396 0001000000000001100110101111111111000000000000000000000000000001 +0 397 0001000000000001100110101111111111000000000000000000000000000001 +0 398 0001000000000001100110101111111111000000000000000000000000000001 +0 399 0001000000000001100110101111111111000000000000000000000000000001 +0 400 0001000000000001100110101111111111000000000000000000000000000001 +0 401 0001000000000001100110101111111111000000000000000000000000000001 +0 402 0001000000000001100110101111111111000000000000000000000000000001 +0 403 0001000000000001100110101111111111000000000000000000000000000001 +0 404 0001000000000001100110101111111111000000000000000000000000000001 +0 405 0001000000000001100110101111111111000000000000000000000000000001 +0 406 0001000000000001100110101111111111000000000000000000000000000001 +0 407 0001000000000001100110101111111111000000000000000000000000000001 +0 408 0001000000000001100110101111111111000000000000000000000000000001 +0 409 0001000000000001100110101111111111000000000000000000000000000001 +0 410 0001000000000001100110101111111111000000000000000000000000000001 +0 411 0001000000000001100110101111111111000000000000000000000000000001 +0 412 0001000000000001100110101111111111000000000000000000000000000001 +0 413 0001000000000001100110101111111111000000000000000000000000000001 +0 414 0001000000000001100110101111111111000000000000000000000000000001 +0 415 0001000000000001100110101111111111000000000000000000000000000001 +0 416 0001000000000010000000001111111111000000000000000000000000000001 +0 417 0001000000000010000000001111111111000000000000000000000000000001 +0 418 0001000000000010000000001111111111000000000000000000000000000001 +0 419 0001000000000010000000001111111111000000000000000000000000000001 +0 420 0001000000000010000000001111111111000000000000000000000000000001 +0 421 0001000000000010000000001111111111000000000000000000000000000001 +0 422 0001000000000010000000001111111111000000000000000000000000000001 +0 423 0001000000000010000000001111111111000000000000000000000000000001 +0 424 0001000000000010000000001111111111000000000000000000000000000001 +0 425 0001000000000010000000001111111111000000000000000000000000000001 +0 426 0001000000000010000000001111111111000000000000000000000000000001 +0 427 0001000000000010000000001111111111000000000000000000000000000001 +0 430 0001000000000010000011000100010001000000000000000000000000000001 +0 431 0001000000000010000011000100010001000000000000000000000000000001 +0 432 0001000000000001100110101111111111000000000000000000000000000001 +0 433 0001000000000001100110101111111111000000000000000000000000000001 +0 434 0001000000000001100110101111111111000000000000000000000000000001 +0 435 0001000000000001100110101111111111000000000000000000000000000001 +0 436 0001000000000001100110101111111111000000000000000000000000000001 +0 437 0001000000000001100110101111111111000000000000000000000000000001 +0 438 0001000000000001100110101111111111000000000000000000000000000001 +0 439 0001000000000001100110101111111111000000000000000000000000000001 +0 440 0001000000000001100110101111111111000000000000000000000000000001 +0 441 0001000000000001100110101111111111000000000000000000000000000001 +0 442 0001000000000001100110101111111111000000000000000000000000000001 +0 443 0001000000000001100110101111111111000000000000000000000000000001 +0 444 0001000000000001100110101111111111000000000000000000000000000001 +0 445 0001000000000001100110101111111111000000000000000000000000000001 +0 446 0001000000000001100110101111111111000000000000000000000000000001 +0 447 0001000000000001100110101111111111000000000000000000000000000001 +0 448 0001000000000001100110101111111111000000000000000000000000000001 +0 449 0001000000000001100110101111111111000000000000000000000000000001 +0 450 0001000000000001100110101111111111000000000000000000000000000001 +0 451 0001000000000001100110101111111111000000000000000000000000000001 +0 452 0001000000000001100110101111111111000000000000000000000000000001 +0 453 0001000000000001100110101111111111000000000000000000000000000001 +0 454 0001000000000001100110101111111111000000000000000000000000000001 +0 455 0001000000000001100110101111111111000000000000000000000000000001 +0 456 0001000000000001100110101111111111000000000000000000000000000001 +0 457 0001000000000001100110101111111111000000000000000000000000000001 +0 458 0001000000000001100110101111111111000000000000000000000000000001 +0 459 0001000000000001100110101111111111000000000000000000000000000001 +0 460 0001000000000001100110101111111111000000000000000000000000000001 +0 461 0001000000000001100110101111111111000000000000000000000000000001 +0 462 0001000000000001100110101111111111000000000000000000000000000001 +0 463 0001000000000001100110101111111111000000000000000000000000000001 +0 464 0001000000000001100110101111111111000000000000000000000000000001 +0 465 0001000000000001100110101111111111000000000000000000000000000001 +0 466 0001000000000001100110101111111111000000000000000000000000000001 +0 467 0001000000000001100110101111111111000000000000000000000000000001 +0 468 0001000000000001100110101111111111000000000000000000000000000001 +0 469 0001000000000001100110101111111111000000000000000000000000000001 +0 470 0001000000000001100110101111111111000000000000000000000000000001 +0 471 0001000000000001100110101111111111000000000000000000000000000001 +0 472 0001000000000001100110101111111111000000000000000000000000000001 +0 473 0001000000000001100110101111111111000000000000000000000000000001 +0 474 0001000000000001100110101111111111000000000000000000000000000001 +0 475 0001000000000001100110101111111111000000000000000000000000000001 +0 476 0001000000000001100110101111111111000000000000000000000000000001 +0 477 0001000000000001100110101111111111000000000000000000000000000001 +0 478 0001000000000001100110101111111111000000000000000000000000000001 +0 479 0001000000000001100110101111111111000000000000000000000000000001 +0 480 0001000000000001100110101111111111000000000000000000000000000001 +0 481 0001000000000001100110101111111111000000000000000000000000000001 +0 482 0001000000000001100110101111111111000000000000000000000000000001 +0 483 0001000000000001100110101111111111000000000000000000000000000001 +0 484 0001000000000001100110101111111111000000000000000000000000000001 +0 485 0001000000000001100110101111111111000000000000000000000000000001 +0 486 0001000000000001100110101111111111000000000000000000000000000001 +0 487 0001000000000001100110101111111111000000000000000000000000000001 +0 488 0001000000000001100110101111111111000000000000000000000000000001 +0 489 0001000000000001100110101111111111000000000000000000000000000001 +0 490 0001000000000001100110101111111111000000000000000000000000000001 +0 491 0001000000000001100110101111111111000000000000000000000000000001 +0 492 0001000000000001100110101111111111000000000000000000000000000001 +0 493 0001000000000001100110101111111111000000000000000000000000000001 +0 494 0001000000000001100110101111111111000000000000000000000000000001 +0 495 0001000000000001100110101111111111000000000000000000000000000001 +0 496 0001000000000001100110101111111111000000000000000000000000000001 +0 497 0001000000000001100110101111111111000000000000000000000000000001 +0 498 0001000000000001100110101111111111000000000000000000000000000001 +0 499 0001000000000001100110101111111111000000000000000000000000000001 +0 500 0001000000000001100110101111111111000000000000000000000000000001 +0 501 0001000000000001100110101111111111000000000000000000000000000001 +0 502 0001000000000001100110101111111111000000000000000000000000000001 +0 503 0001000000000001100110101111111111000000000000000000000000000001 +0 504 0001000000000001100110101111111111000000000000000000000000000001 +0 505 0001000000000001100110101111111111000000000000000000000000000001 +0 506 0001000000000001100110101111111111000000000000000000000000000001 +0 507 0001000000000001100110101111111111000000000000000000000000000001 +0 508 0001000000000001100110101111111111000000000000000000000000000001 +0 509 0001000000000001100110101111111111000000000000000000000000000001 +0 510 0001000000000001100110101111111111000000000000000000000000000001 +0 511 0001000000000001100110101111111111000000000000000000000000000001 +0 512 0001000000000001100110101111111111000000000000000000000000000001 +0 513 0001000000000001100110101111111111000000000000000000000000000001 +0 514 0001000000000001100110101111111111000000000000000000000000000001 +0 515 0001000000000001100110101111111111000000000000000000000000000001 +0 516 0001000000000001100110101111111111000000000000000000000000000001 +0 517 0001000000000001100110101111111111000000000000000000000000000001 +0 518 0001000000000001100110101111111111000000000000000000000000000001 +0 519 0001000000000001100110101111111111000000000000000000000000000001 +0 520 0001000000000001100110101111111111000000000000000000000000000001 +0 521 0001000000000001100110101111111111000000000000000000000000000001 +0 522 0001000000000001100110101111111111000000000000000000000000000001 +0 523 0001000000000001100110101111111111000000000000000000000000000001 +0 524 0001000000000001100110101111111111000000000000000000000000000001 +0 525 0001000000000001100110101111111111000000000000000000000000000001 +0 526 0001000000000001100110101111111111000000000000000000000000000001 +0 527 0001000000000001100110101111111111000000000000000000000000000001 +0 528 0001000000000001100110101111111111000000000000000000000000000001 +0 529 0001000000000001100110101111111111000000000000000000000000000001 +0 530 0001000000000001100110101111111111000000000000000000000000000001 +0 531 0001000000000001100110101111111111000000000000000000000000000001 +0 532 0001000000000001100110101111111111000000000000000000000000000001 +0 533 0001000000000001100110101111111111000000000000000000000000000001 +0 534 0001000000000001100110101111111111000000000000000000000000000001 +0 535 0001000000000001100110101111111111000000000000000000000000000001 +0 536 0001000000000001100110101111111111000000000000000000000000000001 +0 537 0001000000000001100110101111111111000000000000000000000000000001 +0 538 0001000000000001100110101111111111000000000000000000000000000001 +0 539 0001000000000001100110101111111111000000000000000000000000000001 +0 540 0001000000000001100110101111111111000000000000000000000000000001 +0 541 0001000000000001100110101111111111000000000000000000000000000001 +0 542 0001000000000001100110101111111111000000000000000000000000000001 +0 543 0001000000000001100110101111111111000000000000000000000000000001 +0 544 0001000000000001100110101111111111000000000000000000000000000001 +0 545 0001000000000001100110101111111111000000000000000000000000000001 +0 546 0001000000000001100110101111111111000000000000000000000000000001 +0 547 0001000000000001100110101111111111000000000000000000000000000001 +0 548 0001000000000001100110101111111111000000000000000000000000000001 +0 549 0001000000000001100110101111111111000000000000000000000000000001 +0 550 0001000000000001100110101111111111000000000000000000000000000001 +0 551 0001000000000001100110101111111111000000000000000000000000000001 +0 552 0001000000000001100110101111111111000000000000000000000000000001 +0 553 0001000000000001100110101111111111000000000000000000000000000001 +0 554 0001000000000001100110101111111111000000000000000000000000000001 +0 555 0001000000000001100110101111111111000000000000000000000000000001 +0 556 0001000000000001100110101111111111000000000000000000000000000001 +0 557 0001000000000001100110101111111111000000000000000000000000000001 +0 558 0001000000000001100110101111111111000000000000000000000000000001 +0 559 0001000000000001100110101111111111000000000000000000000000000001 +0 560 0001000000000001100110101111111111000000000000000000000000000001 +0 561 0001000000000001100110101111111111000000000000000000000000000001 +0 562 0001000000000001100110101111111111000000000000000000000000000001 +0 563 0001000000000001100110101111111111000000000000000000000000000001 +0 564 0001000000000001100110101111111111000000000000000000000000000001 +0 565 0001000000000001100110101111111111000000000000000000000000000001 +0 566 0001000000000001100110101111111111000000000000000000000000000001 +0 567 0001000000000001100110101111111111000000000000000000000000000001 +0 568 0001000000000001100110101111111111000000000000000000000000000001 +0 569 0001000000000001100110101111111111000000000000000000000000000001 +0 570 0001000000000001100110101111111111000000000000000000000000000001 +0 571 0001000000000001100110101111111111000000000000000000000000000001 +0 572 0001000000000001100110101111111111000000000000000000000000000001 +0 573 0001000000000001100110101111111111000000000000000000000000000001 +0 574 0001000000000001100110101111111111000000000000000000000000000001 +0 575 0001000000000001100110101111111111000000000000000000000000000001 +0 576 0001000000000001100110101111111111000000000000000000000000000001 +0 577 0001000000000001100110101111111111000000000000000000000000000001 +0 578 0001000000000001100110101111111111000000000000000000000000000001 +0 579 0001000000000001100110101111111111000000000000000000000000000001 +0 580 0001000000000001100110101111111111000000000000000000000000000001 +0 581 0001000000000001100110101111111111000000000000000000000000000001 +0 582 0001000000000001100110101111111111000000000000000000000000000001 +0 583 0001000000000001100110101111111111000000000000000000000000000001 +0 584 0001000000000001100110101111111111000000000000000000000000000001 +0 585 0001000000000001100110101111111111000000000000000000000000000001 +0 586 0001000000000001100110101111111111000000000000000000000000000001 +0 587 0001000000000001100110101111111111000000000000000000000000000001 +0 588 0001000000000001100110101111111111000000000000000000000000000001 +0 589 0001000000000001100110101111111111000000000000000000000000000001 +0 590 0001000000000001100110101111111111000000000000000000000000000001 +0 591 0001000000000001100110101111111111000000000000000000000000000001 +0 592 0001000000000001100110101111111111000000000000000000000000000001 +0 593 0001000000000001100110101111111111000000000000000000000000000001 +0 594 0001000000000001100110101111111111000000000000000000000000000001 +0 595 0001000000000001100110101111111111000000000000000000000000000001 +0 596 0001000000000001100110101111111111000000000000000000000000000001 +0 597 0001000000000001100110101111111111000000000000000000000000000001 +0 598 0001000000000001100110101111111111000000000000000000000000000001 +0 599 0001000000000001100110101111111111000000000000000000000000000001 +0 600 0001000000000001100110101111111111000000000000000000000000000001 +0 601 0001000000000001100110101111111111000000000000000000000000000001 +0 602 0001000000000001100110101111111111000000000000000000000000000001 +0 603 0001000000000001100110101111111111000000000000000000000000000001 +0 604 0001000000000001100110101111111111000000000000000000000000000001 +0 605 0001000000000001100110101111111111000000000000000000000000000001 +0 606 0001000000000001100110101111111111000000000000000000000000000001 +0 607 0001000000000001100110101111111111000000000000000000000000000001 +0 608 0001000000000001100110101111111111000000000000000000000000000001 +0 609 0001000000000001100110101111111111000000000000000000000000000001 +0 610 0001000000000001100110101111111111000000000000000000000000000001 +0 611 0001000000000001100110101111111111000000000000000000000000000001 +0 612 0001000000000001100110101111111111000000000000000000000000000001 +0 613 0001000000000001100110101111111111000000000000000000000000000001 +0 614 0001000000000001100110101111111111000000000000000000000000000001 +0 615 0001000000000001100110101111111111000000000000000000000000000001 +0 616 0001000000000001100110101111111111000000000000000000000000000001 +0 617 0001000000000001100110101111111111000000000000000000000000000001 +0 618 0001000000000001100110101111111111000000000000000000000000000001 +0 619 0001000000000001100110101111111111000000000000000000000000000001 +0 620 0001000000000001100110101111111111000000000000000000000000000001 +0 621 0001000000000001100110101111111111000000000000000000000000000001 +0 622 0001000000000001100110101111111111000000000000000000000000000001 +0 623 0001000000000001100110101111111111000000000000000000000000000001 +0 624 0001000000000001100110101111111111000000000000000000000000000001 +0 625 0001000000000001100110101111111111000000000000000000000000000001 +0 626 0001000000000001100110101111111111000000000000000000000000000001 +0 627 0001000000000001100110101111111111000000000000000000000000000001 +0 628 0001000000000001100110101111111111000000000000000000000000000001 +0 629 0001000000000001100110101111111111000000000000000000000000000001 +0 630 0001000000000001100110101111111111000000000000000000000000000001 +0 631 0001000000000001100110101111111111000000000000000000000000000001 +0 632 0001000000000001100110101111111111000000000000000000000000000001 +0 633 0001000000000001100110101111111111000000000000000000000000000001 +0 634 0001000000000001100110101111111111000000000000000000000000000001 +0 635 0001000000000001100110101111111111000000000000000000000000000001 +0 636 0001000000000001100110101111111111000000000000000000000000000001 +0 637 0001000000000001100110101111111111000000000000000000000000000001 +0 638 0001000000000001100110101111111111000000000000000000000000000001 +0 639 0001000000000001100110101111111111000000000000000000000000000001 +0 640 0001000000000001100110101111111111000000000000000000000000000001 +0 641 0001000000000001100110101111111111000000000000000000000000000001 +0 642 0001000000000001100110101111111111000000000000000000000000000001 +0 643 0001000000000001100110101111111111000000000000000000000000000001 +0 644 0001000000000001100110101111111111000000000000000000000000000001 +0 645 0001000000000001100110101111111111000000000000000000000000000001 +0 646 0001000000000001100110101111111111000000000000000000000000000001 +0 647 0001000000000001100110101111111111000000000000000000000000000001 +0 648 0001000000000001100110101111111111000000000000000000000000000001 +0 649 0001000000000001100110101111111111000000000000000000000000000001 +0 650 0001000000000001100110101111111111000000000000000000000000000001 +0 651 0001000000000001100110101111111111000000000000000000000000000001 +0 652 0001000000000001100110101111111111000000000000000000000000000001 +0 653 0001000000000001100110101111111111000000000000000000000000000001 +0 654 0001000000000001100110101111111111000000000000000000000000000001 +0 655 0001000000000001100110101111111111000000000000000000000000000001 +0 656 0001000000000001100110101111111111000000000000000000000000000001 +0 657 0001000000000001100110101111111111000000000000000000000000000001 +0 658 0001000000000001100110101111111111000000000000000000000000000001 +0 659 0001000000000001100110101111111111000000000000000000000000000001 +0 660 0001000000000001100110101111111111000000000000000000000000000001 +0 661 0001000000000001100110101111111111000000000000000000000000000001 +0 662 0001000000000001100110101111111111000000000000000000000000000001 +0 663 0001000000000001100110101111111111000000000000000000000000000001 +0 664 0001000000000001100110101111111111000000000000000000000000000001 +0 665 0001000000000001100110101111111111000000000000000000000000000001 +0 666 0001000000000001100110101111111111000000000000000000000000000001 +0 667 0001000000000001100110101111111111000000000000000000000000000001 +0 668 0001000000000001100110101111111111000000000000000000000000000001 +0 669 0001000000000001100110101111111111000000000000000000000000000001 +0 670 0001000000000001100110101111111111000000000000000000000000000001 +0 671 0001000000000001100110101111111111000000000000000000000000000001 +0 672 0001000000000001100110101111111111000000000000000000000000000001 +0 673 0001000000000001100110101111111111000000000000000000000000000001 +0 674 0001000000000001100110101111111111000000000000000000000000000001 +0 675 0001000000000001100110101111111111000000000000000000000000000001 +0 676 0001000000000001100110101111111111000000000000000000000000000001 +0 677 0001000000000001100110101111111111000000000000000000000000000001 +0 678 0001000000000001100110101111111111000000000000000000000000000001 +0 679 0001000000000001100110101111111111000000000000000000000000000001 +0 680 0001000000000001100110101111111111000000000000000000000000000001 +0 681 0001000000000001100110101111111111000000000000000000000000000001 +0 682 0001000000000001100110101111111111000000000000000000000000000001 +0 683 0001000000000001100110101111111111000000000000000000000000000001 +0 684 0001000000000001100110101111111111000000000000000000000000000001 +0 685 0001000000000001100110101111111111000000000000000000000000000001 +0 686 0001000000000001100110101111111111000000000000000000000000000001 +0 687 0001000000000001100110101111111111000000000000000000000000000001 +0 688 0001000000000001100110101111111111000000000000000000000000000001 +0 689 0001000000000001100110101111111111000000000000000000000000000001 +0 690 0001000000000001100110101111111111000000000000000000000000000001 +0 691 0001000000000001100110101111111111000000000000000000000000000001 +0 692 0001000000000001100110101111111111000000000000000000000000000001 +0 693 0001000000000001100110101111111111000000000000000000000000000001 +0 694 0001000000000001100110101111111111000000000000000000000000000001 +0 695 0001000000000001100110101111111111000000000000000000000000000001 +0 696 0001000000000001100110101111111111000000000000000000000000000001 +0 697 0001000000000001100110101111111111000000000000000000000000000001 +0 698 0001000000000001100110101111111111000000000000000000000000000001 +0 699 0001000000000001100110101111111111000000000000000000000000000001 +0 700 0001000000000001100110101111111111000000000000000000000000000001 +0 701 0001000000000001100110101111111111000000000000000000000000000001 +0 702 0001000000000001100110101111111111000000000000000000000000000001 +0 703 0001000000000001100110101111111111000000000000000000000000000001 +0 704 0001000000000001100110101111111111000000000000000000000000000001 +0 705 0001000000000001100110101111111111000000000000000000000000000001 +0 706 0001000000000001100110101111111111000000000000000000000000000001 +0 707 0001000000000001100110101111111111000000000000000000000000000001 +0 708 0001000000000001100110101111111111000000000000000000000000000001 +0 709 0001000000000001100110101111111111000000000000000000000000000001 +0 710 0001000000000001100110101111111111000000000000000000000000000001 +0 711 0001000000000001100110101111111111000000000000000000000000000001 +0 712 0001000000000001100110101111111111000000000000000000000000000001 +0 713 0001000000000001100110101111111111000000000000000000000000000001 +0 714 0001000000000001100110101111111111000000000000000000000000000001 +0 715 0001000000000001100110101111111111000000000000000000000000000001 +0 716 0001000000000001100110101111111111000000000000000000000000000001 +0 717 0001000000000001100110101111111111000000000000000000000000000001 +0 718 0001000000000001100110101111111111000000000000000000000000000001 +0 719 0001000000000001100110101111111111000000000000000000000000000001 +0 720 0001000000000001100110101111111111000000000000000000000000000001 +0 721 0001000000000001100110101111111111000000000000000000000000000001 +0 722 0001000000000001100110101111111111000000000000000000000000000001 +0 723 0001000000000001100110101111111111000000000000000000000000000001 +0 724 0001000000000001100110101111111111000000000000000000000000000001 +0 725 0001000000000001100110101111111111000000000000000000000000000001 +0 726 0001000000000001100110101111111111000000000000000000000000000001 +0 727 0001000000000001100110101111111111000000000000000000000000000001 +0 728 0001000000000001100110101111111111000000000000000000000000000001 +0 729 0001000000000001100110101111111111000000000000000000000000000001 +0 730 0001000000000001100110101111111111000000000000000000000000000001 +0 731 0001000000000001100110101111111111000000000000000000000000000001 +0 732 0001000000000001100110101111111111000000000000000000000000000001 +0 733 0001000000000001100110101111111111000000000000000000000000000001 +0 734 0001000000000001100110101111111111000000000000000000000000000001 +0 735 0001000000000001100110101111111111000000000000000000000000000001 +0 736 0001000000000001100110101111111111000000000000000000000000000001 +0 737 0001000000000001100110101111111111000000000000000000000000000001 +0 738 0001000000000001100110101111111111000000000000000000000000000001 +0 739 0001000000000001100110101111111111000000000000000000000000000001 +0 740 0001000000000001100110101111111111000000000000000000000000000001 +0 741 0001000000000001100110101111111111000000000000000000000000000001 +0 742 0001000000000001100110101111111111000000000000000000000000000001 +0 743 0001000000000001100110101111111111000000000000000000000000000001 +0 744 0001000000000001100110101111111111000000000000000000000000000001 +0 745 0001000000000001100110101111111111000000000000000000000000000001 +0 746 0001000000000001100110101111111111000000000000000000000000000001 +0 747 0001000000000001100110101111111111000000000000000000000000000001 +0 748 0001000000000001100110101111111111000000000000000000000000000001 +0 749 0001000000000001100110101111111111000000000000000000000000000001 +0 750 0001000000000001100110101111111111000000000000000000000000000001 +0 751 0001000000000001100110101111111111000000000000000000000000000001 +0 752 0001000000000001100110101111111111000000000000000000000000000001 +0 753 0001000000000001100110101111111111000000000000000000000000000001 +0 754 0001000000000001100110101111111111000000000000000000000000000001 +0 755 0001000000000001100110101111111111000000000000000000000000000001 +0 756 0001000000000001100110101111111111000000000000000000000000000001 +0 757 0001000000000001100110101111111111000000000000000000000000000001 +0 758 0001000000000001100110101111111111000000000000000000000000000001 +0 759 0001000000000001100110101111111111000000000000000000000000000001 +0 760 0001000000000001100110101111111111000000000000000000000000000001 +0 761 0001000000000001100110101111111111000000000000000000000000000001 +0 762 0001000000000001100110101111111111000000000000000000000000000001 +0 763 0001000000000001100110101111111111000000000000000000000000000001 +0 764 0001000000000001100110101111111111000000000000000000000000000001 +0 765 0001000000000001100110101111111111000000000000000000000000000001 +0 766 0001000000000001100110101111111111000000000000000000000000000001 +0 767 0001000000000001100110101111111111000000000000000000000000000001 +0 768 0001000000000010000000001111111111000000000000000000000000000001 +0 769 0001000000000010000000001111111111000000000000000000000000000001 +0 770 0001000000000010000000001111111111000000000000000000000000000001 +0 771 0001000000000010000000001111111111000000000000000000000000000001 +0 772 0001000000000010000000001111111111000000000000000000000000000001 +0 773 0001000000000010000000001111111111000000000000000000000000000001 +0 774 0001000000000010000000001111111111000000000000000000000000000001 +0 775 0001000000000010000000001111111111000000000000000000000000000001 +0 776 0001000000000000001000001111111111000000000000000000000000000001 +0 777 0001000000000000001000001111111111000000000000000000000000000001 +0 780 0001000000000010000000001111111111000000000000000000000000000001 +0 781 0001000000000010000000001111111111000000000000000000000000000001 +0 782 0001000000000010000000001111111111000000000000000000000000000001 +0 783 0001000000000010000000001111111111000000000000000000000000000001 +0 784 0001000000000001100110101111111111000000000000000000000000000001 +0 785 0001000000000001100110101111111111000000000000000000000000000001 +0 786 0001000000000001100110101111111111000000000000000000000000000001 +0 787 0001000000000001100110101111111111000000000000000000000000000001 +0 788 0001000000000001100110101111111111000000000000000000000000000001 +0 789 0001000000000001100110101111111111000000000000000000000000000001 +0 790 0001000000000001100110101111111111000000000000000000000000000001 +0 791 0001000000000001100110101111111111000000000000000000000000000001 +0 792 0001000000000001100110101111111111000000000000000000000000000001 +0 793 0001000000000001100110101111111111000000000000000000000000000001 +0 794 0001000000000001100110101111111111000000000000000000000000000001 +0 795 0001000000000001100110101111111111000000000000000000000000000001 +0 796 0001000000000001100110101111111111000000000000000000000000000001 +0 797 0001000000000001100110101111111111000000000000000000000000000001 +0 798 0001000000000001100110101111111111000000000000000000000000000001 +0 799 0001000000000001100110101111111111000000000000000000000000000001 +0 800 0001000000000010000000001111111111000000000000000000000000000001 +0 801 0001000000000010000000001111111111000000000000000000000000000001 +0 802 0001000000000010000000001111111111000000000000000000000000000001 +0 803 0001000000000010000000001111111111000000000000000000000000000001 +0 804 0001000000000010000000001111111111000000000000000000000000000001 +0 805 0001000000000010000000001111111111000000000000000000000000000001 +0 806 0001000000000010000000001111111111000000000000000000000000000001 +0 807 0001000000000010000000001111111111000000000000000000000000000001 +0 808 0001000000000000001000001111111111000000000000000000000000000001 +0 809 0001000000000000001000001111111111000000000000000000000000000001 +0 812 0001000000000010000000001111111111000000000000000000000000000001 +0 813 0001000000000010000000001111111111000000000000000000000000000001 +0 814 0001000000000010000000001111111111000000000000000000000000000001 +0 815 0001000000000010000000001111111111000000000000000000000000000001 +0 816 0001000000000001100110101111111111000000000000000000000000000001 +0 817 0001000000000001100110101111111111000000000000000000000000000001 +0 818 0001000000000001100110101111111111000000000000000000000000000001 +0 819 0001000000000001100110101111111111000000000000000000000000000001 +0 820 0001000000000001100110101111111111000000000000000000000000000001 +0 821 0001000000000001100110101111111111000000000000000000000000000001 +0 822 0001000000000001100110101111111111000000000000000000000000000001 +0 823 0001000000000001100110101111111111000000000000000000000000000001 +0 824 0001000000000001100110101111111111000000000000000000000000000001 +0 825 0001000000000001100110101111111111000000000000000000000000000001 +0 826 0001000000000001100110101111111111000000000000000000000000000001 +0 827 0001000000000001100110101111111111000000000000000000000000000001 +0 828 0001000000000001100110101111111111000000000000000000000000000001 +0 829 0001000000000001100110101111111111000000000000000000000000000001 +0 830 0001000000000001100110101111111111000000000000000000000000000001 +0 831 0001000000000001100110101111111111000000000000000000000000000001 +0 832 0001000000000010000000001111111111000000000000000000000000000001 +0 833 0001000000000010000000001111111111000000000000000000000000000001 +0 834 0001000000000010000000001111111111000000000000000000000000000001 +0 835 0001000000000010000000001111111111000000000000000000000000000001 +0 836 0001000000000010000000001111111111000000000000000000000000000001 +0 837 0001000000000010000000001111111111000000000000000000000000000001 +0 838 0001000000000010000000001111111111000000000000000000000000000001 +0 839 0001000000000010000000001111111111000000000000000000000000000001 +0 840 0001000000000000001000001111111111000000000000000000000000000001 +0 841 0001000000000000001000001111111111000000000000000000000000000001 +0 844 0001000000000010000000001111111111000000000000000000000000000001 +0 845 0001000000000010000000001111111111000000000000000000000000000001 +0 846 0001000000000010000000001111111111000000000000000000000000000001 +0 847 0001000000000010000000001111111111000000000000000000000000000001 +0 848 0001000000000001100110101111111111000000000000000000000000000001 +0 849 0001000000000001100110101111111111000000000000000000000000000001 +0 850 0001000000000001100110101111111111000000000000000000000000000001 +0 851 0001000000000001100110101111111111000000000000000000000000000001 +0 852 0001000000000001100110101111111111000000000000000000000000000001 +0 853 0001000000000001100110101111111111000000000000000000000000000001 +0 854 0001000000000001100110101111111111000000000000000000000000000001 +0 855 0001000000000001100110101111111111000000000000000000000000000001 +0 856 0001000000000001100110101111111111000000000000000000000000000001 +0 857 0001000000000001100110101111111111000000000000000000000000000001 +0 858 0001000000000001100110101111111111000000000000000000000000000001 +0 859 0001000000000001100110101111111111000000000000000000000000000001 +0 860 0001000000000001100110101111111111000000000000000000000000000001 +0 861 0001000000000001100110101111111111000000000000000000000000000001 +0 862 0001000000000001100110101111111111000000000000000000000000000001 +0 863 0001000000000001100110101111111111000000000000000000000000000001 +0 864 0001000000000010000000001111111111000000000000000000000000000001 +0 865 0001000000000010000000001111111111000000000000000000000000000001 +0 866 0001000000000010000000001111111111000000000000000000000000000001 +0 867 0001000000000010000000001111111111000000000000000000000000000001 +0 868 0001000000000010000000001111111111000000000000000000000000000001 +0 869 0001000000000010000000001111111111000000000000000000000000000001 +0 870 0001000000000010000000001111111111000000000000000000000000000001 +0 871 0001000000000010000000001111111111000000000000000000000000000001 +0 872 0001000000000000001000001111111111000000000000000000000000000001 +0 873 0001000000000000001000001111111111000000000000000000000000000001 +0 876 0001000000000010000000001111111111000000000000000000000000000001 +0 877 0001000000000010000000001111111111000000000000000000000000000001 +0 878 0001000000000010000000001111111111000000000000000000000000000001 +0 879 0001000000000010000000001111111111000000000000000000000000000001 +0 880 0001000000000001100110101111111111000000000000000000000000000001 +0 881 0001000000000001100110101111111111000000000000000000000000000001 +0 882 0001000000000001100110101111111111000000000000000000000000000001 +0 883 0001000000000001100110101111111111000000000000000000000000000001 +0 884 0001000000000001100110101111111111000000000000000000000000000001 +0 885 0001000000000001100110101111111111000000000000000000000000000001 +0 886 0001000000000001100110101111111111000000000000000000000000000001 +0 887 0001000000000001100110101111111111000000000000000000000000000001 +0 888 0001000000000001100110101111111111000000000000000000000000000001 +0 889 0001000000000001100110101111111111000000000000000000000000000001 +0 890 0001000000000001100110101111111111000000000000000000000000000001 +0 891 0001000000000001100110101111111111000000000000000000000000000001 +0 892 0001000000000001100110101111111111000000000000000000000000000001 +0 893 0001000000000001100110101111111111000000000000000000000000000001 +0 894 0001000000000001100110101111111111000000000000000000000000000001 +0 895 0001000000000001100110101111111111000000000000000000000000000001 +0 896 0001000000000001100110101111111111000000000000000000000000000001 +0 897 0001000000000001100110101111111111000000000000000000000000000001 +0 898 0001000000000001100110101111111111000000000000000000000000000001 +0 899 0001000000000001100110101111111111000000000000000000000000000001 +0 900 0001000000000001100110101111111111000000000000000000000000000001 +0 901 0001000000000001100110101111111111000000000000000000000000000001 +0 902 0001000000000001100110101111111111000000000000000000000000000001 +0 903 0001000000000001100110101111111111000000000000000000000000000001 +0 904 0001000000000001100110101111111111000000000000000000000000000001 +0 905 0001000000000001100110101111111111000000000000000000000000000001 +0 906 0001000000000001100110101111111111000000000000000000000000000001 +0 907 0001000000000001100110101111111111000000000000000000000000000001 +0 908 0001000000000001100110101111111111000000000000000000000000000001 +0 909 0001000000000001100110101111111111000000000000000000000000000001 +0 910 0001000000000001100110101111111111000000000000000000000000000001 +0 911 0001000000000001100110101111111111000000000000000000000000000001 +0 912 0001000000000001100110101111111111000000000000000000000000000001 +0 913 0001000000000001100110101111111111000000000000000000000000000001 +0 914 0001000000000001100110101111111111000000000000000000000000000001 +0 915 0001000000000001100110101111111111000000000000000000000000000001 +0 916 0001000000000001100110101111111111000000000000000000000000000001 +0 917 0001000000000001100110101111111111000000000000000000000000000001 +0 918 0001000000000001100110101111111111000000000000000000000000000001 +0 919 0001000000000001100110101111111111000000000000000000000000000001 +0 920 0001000000000001100110101111111111000000000000000000000000000001 +0 921 0001000000000001100110101111111111000000000000000000000000000001 +0 922 0001000000000001100110101111111111000000000000000000000000000001 +0 923 0001000000000001100110101111111111000000000000000000000000000001 +0 924 0001000000000001100110101111111111000000000000000000000000000001 +0 925 0001000000000001100110101111111111000000000000000000000000000001 +0 926 0001000000000001100110101111111111000000000000000000000000000001 +0 927 0001000000000001100110101111111111000000000000000000000000000001 +0 928 0001000000000001100110101111111111000000000000000000000000000001 +0 929 0001000000000001100110101111111111000000000000000000000000000001 +0 930 0001000000000001100110101111111111000000000000000000000000000001 +0 931 0001000000000001100110101111111111000000000000000000000000000001 +0 932 0001000000000001100110101111111111000000000000000000000000000001 +0 933 0001000000000001100110101111111111000000000000000000000000000001 +0 934 0001000000000001100110101111111111000000000000000000000000000001 +0 935 0001000000000001100110101111111111000000000000000000000000000001 +0 936 0001000000000001100110101111111111000000000000000000000000000001 +0 937 0001000000000001100110101111111111000000000000000000000000000001 +0 938 0001000000000001100110101111111111000000000000000000000000000001 +0 939 0001000000000001100110101111111111000000000000000000000000000001 +0 940 0001000000000001100110101111111111000000000000000000000000000001 +0 941 0001000000000001100110101111111111000000000000000000000000000001 +0 942 0001000000000001100110101111111111000000000000000000000000000001 +0 943 0001000000000001100110101111111111000000000000000000000000000001 +0 944 0001000000000001100110101111111111000000000000000000000000000001 +0 945 0001000000000001100110101111111111000000000000000000000000000001 +0 946 0001000000000001100110101111111111000000000000000000000000000001 +0 947 0001000000000001100110101111111111000000000000000000000000000001 +0 948 0001000000000001100110101111111111000000000000000000000000000001 +0 949 0001000000000001100110101111111111000000000000000000000000000001 +0 950 0001000000000001100110101111111111000000000000000000000000000001 +0 951 0001000000000001100110101111111111000000000000000000000000000001 +0 952 0001000000000001100110101111111111000000000000000000000000000001 +0 953 0001000000000001100110101111111111000000000000000000000000000001 +0 954 0001000000000001100110101111111111000000000000000000000000000001 +0 955 0001000000000001100110101111111111000000000000000000000000000001 +0 956 0001000000000001100110101111111111000000000000000000000000000001 +0 957 0001000000000001100110101111111111000000000000000000000000000001 +0 958 0001000000000001100110101111111111000000000000000000000000000001 +0 959 0001000000000001100110101111111111000000000000000000000000000001 +0 960 0001000000000001100110101111111111000000000000000000000000000001 +0 961 0001000000000001100110101111111111000000000000000000000000000001 +0 962 0001000000000001100110101111111111000000000000000000000000000001 +0 963 0001000000000001100110101111111111000000000000000000000000000001 +0 964 0001000000000001100110101111111111000000000000000000000000000001 +0 965 0001000000000001100110101111111111000000000000000000000000000001 +0 966 0001000000000001100110101111111111000000000000000000000000000001 +0 967 0001000000000001100110101111111111000000000000000000000000000001 +0 968 0001000000000001100110101111111111000000000000000000000000000001 +0 969 0001000000000001100110101111111111000000000000000000000000000001 +0 970 0001000000000001100110101111111111000000000000000000000000000001 +0 971 0001000000000001100110101111111111000000000000000000000000000001 +0 972 0001000000000001100110101111111111000000000000000000000000000001 +0 973 0001000000000001100110101111111111000000000000000000000000000001 +0 974 0001000000000001100110101111111111000000000000000000000000000001 +0 975 0001000000000001100110101111111111000000000000000000000000000001 +0 976 0001000000000001100110101111111111000000000000000000000000000001 +0 977 0001000000000001100110101111111111000000000000000000000000000001 +0 978 0001000000000001100110101111111111000000000000000000000000000001 +0 979 0001000000000001100110101111111111000000000000000000000000000001 +0 980 0001000000000001100110101111111111000000000000000000000000000001 +0 981 0001000000000001100110101111111111000000000000000000000000000001 +0 982 0001000000000001100110101111111111000000000000000000000000000001 +0 983 0001000000000001100110101111111111000000000000000000000000000001 +0 984 0001000000000001100110101111111111000000000000000000000000000001 +0 985 0001000000000001100110101111111111000000000000000000000000000001 +0 986 0001000000000001100110101111111111000000000000000000000000000001 +0 987 0001000000000001100110101111111111000000000000000000000000000001 +0 988 0001000000000001100110101111111111000000000000000000000000000001 +0 989 0001000000000001100110101111111111000000000000000000000000000001 +0 990 0001000000000001100110101111111111000000000000000000000000000001 +0 991 0001000000000001100110101111111111000000000000000000000000000001 +0 992 0001000000000001100110101111111111000000000000000000000000000001 +0 993 0001000000000001100110101111111111000000000000000000000000000001 +0 994 0001000000000001100110101111111111000000000000000000000000000001 +0 995 0001000000000001100110101111111111000000000000000000000000000001 +0 996 0001000000000001100110101111111111000000000000000000000000000001 +0 997 0001000000000001100110101111111111000000000000000000000000000001 +0 998 0001000000000001100110101111111111000000000000000000000000000001 +0 999 0001000000000001100110101111111111000000000000000000000000000001 +0 1000 0001000000000001100110101111111111000000000000000000000000000001 +0 1001 0001000000000001100110101111111111000000000000000000000000000001 +0 1002 0001000000000001100110101111111111000000000000000000000000000001 +0 1003 0001000000000001100110101111111111000000000000000000000000000001 +0 1004 0001000000000001100110101111111111000000000000000000000000000001 +0 1005 0001000000000001100110101111111111000000000000000000000000000001 +0 1006 0001000000000001100110101111111111000000000000000000000000000001 +0 1007 0001000000000001100110101111111111000000000000000000000000000001 +0 1008 0001000000000001100110101111111111000000000000000000000000000001 +0 1009 0001000000000001100110101111111111000000000000000000000000000001 +0 1010 0001000000000001100110101111111111000000000000000000000000000001 +0 1011 0001000000000001100110101111111111000000000000000000000000000001 +0 1012 0001000000000001100110101111111111000000000000000000000000000001 +0 1013 0001000000000001100110101111111111000000000000000000000000000001 +0 1014 0001000000000001100110101111111111000000000000000000000000000001 +0 1015 0001000000000001100110101111111111000000000000000000000000000001 +0 1016 0001000000000001100110101111111111000000000000000000000000000001 +0 1017 0001000000000001100110101111111111000000000000000000000000000001 +0 1018 0001000000000001100110101111111111000000000000000000000000000001 +0 1019 0001000000000001100110101111111111000000000000000000000000000001 +0 1020 0001000000000001100110101111111111000000000000000000000000000001 +0 1021 0001000000000001100110101111111111000000000000000000000000000001 +0 1022 0001000000000001100110101111111111000000000000000000000000000001 +0 1023 0001000000000001100110101111111111000000000000000000000000000001 +0 44 0001000000000001100110101111111111000000000000000000000000000001 +0 45 0001000000000001100110101111111111000000000000000000000000000001 +0 172 0001000000000001100110101111111111000000000000000000000000000001 +0 173 0001000000000001100110101111111111000000000000000000000000000001 +0 256 0001000000000001100110101111111111000000000000000000000000000001 +0 257 0001000000000001100110101111111111000000000000000000000000000001 +0 258 0001000000000001100110101111111111000000000000000000000000000001 +0 259 0001000000000001100110101111111111000000000000000000000000000001 +0 260 0001000000000001100110101111111111000000000000000000000000000001 +0 261 0001000000000001100110101111111111000000000000000000000000000001 +0 262 0001000000000001100110101111111111000000000000000000000000000001 +0 263 0001000000000001100110101111111111000000000000000000000000000001 +0 266 0001000000000001100110101111111111000000000000000000000000000001 +0 267 0001000000000001100110101111111111000000000000000000000000000001 +0 268 0001000000000001100110101111111111000000000000000000000000000001 +0 269 0001000000000001100110101111111111000000000000000000000000000001 +0 270 0001000000000001100110101111111111000000000000000000000000000001 +0 271 0001000000000001100110101111111111000000000000000000000000000001 +0 288 0001000000000001100110101111111111000000000000000000000000000001 +0 289 0001000000000001100110101111111111000000000000000000000000000001 +0 290 0001000000000001100110101111111111000000000000000000000000000001 +0 291 0001000000000001100110101111111111000000000000000000000000000001 +0 292 0001000000000001100110101111111111000000000000000000000000000001 +0 293 0001000000000001100110101111111111000000000000000000000000000001 +0 294 0001000000000001100110101111111111000000000000000000000000000001 +0 295 0001000000000001100110101111111111000000000000000000000000000001 +0 298 0001000000000001100110101111111111000000000000000000000000000001 +0 299 0001000000000001100110101111111111000000000000000000000000000001 +0 300 0001000000000001100110101111111111000000000000000000000000000001 +0 301 0001000000000001100110101111111111000000000000000000000000000001 +0 330 0001000000000001100110101111111111000000000000000000000000000001 +0 331 0001000000000001100110101111111111000000000000000000000000000001 +0 362 0001000000000001100110101111111111000000000000000000000000000001 +0 363 0001000000000001100110101111111111000000000000000000000000000001 +0 428 0001000000000001100110101111111111000000000000000000000000000001 +0 429 0001000000000001100110101111111111000000000000000000000000000001 +0 778 0001000000000001100110101111111111000000000000000000000000000001 +0 779 0001000000000001100110101111111111000000000000000000000000000001 +0 810 0001000000000001100110101111111111000000000000000000000000000001 +0 811 0001000000000001100110101111111111000000000000000000000000000001 +0 842 0001000000000001100110101111111111000000000000000000000000000001 +0 843 0001000000000001100110101111111111000000000000000000000000000001 +0 874 0001000000000001100110101111111111000000000000000000000000000001 +0 875 0001000000000001100110101111111111000000000000000000000000000001 +0 0 0001000000000010000000001111111111000000000000000000000000000001 +0 1 0001000000000010000000001111111111000000000000000000000000000001 +0 2 0001000000000010000000001111111111000000000000000000000000000001 +0 3 0001000000000010000000001111111111000000000000000000000000000001 +0 4 0001000000000010000000001111111111000000000000000000000000000001 +0 5 0001000000000010000000001111111111000000000000000000000000000001 +0 6 0001000000000010000000001111111111000000000000000000000000000001 +0 7 0001000000000010000000001111111111000000000000000000000000000001 +0 8 0001000000000010000000001111111111000000000000000000000000000001 +0 9 0001000000000010000000001111111111000000000000000000000000000001 +0 10 0001000000000010000000001111111111000000000000000000000000000001 +0 11 0001000000000010000000001111111111000000000000000000000000000001 +0 12 0001000000000010000000001111111111000000000000000000000000000001 +0 13 0001000000000010000000001111111111000000000000000000000000000001 +0 14 0001000000000010000000001111111111000000000000000000000000000001 +0 15 0001000000000010000000001111111111000000000000000000000000000001 +0 16 0001000000000010000000001111111111000000000000000000000000000001 +0 17 0001000000000010000000001111111111000000000000000000000000000001 +0 18 0001000000000010000000001111111111000000000000000000000000000001 +0 19 0001000000000010000000001111111111000000000000000000000000000001 +0 20 0001000000000010000000001111111111000000000000000000000000000001 +0 21 0001000000000010000000001111111111000000000000000000000000000001 +0 22 0001000000000010000000001111111111000000000000000000000000000001 +0 23 0001000000000010000000001111111111000000000000000000000000000001 +0 24 0001000000000010000000001111111111000000000000000000000000000001 +0 25 0001000000000010000000001111111111000000000000000000000000000001 +0 26 0001000000000010000000001111111111000000000000000000000000000001 +0 27 0001000000000010000000001111111111000000000000000000000000000001 +0 28 0001000000000010000000001111111111000000000000000000000000000001 +0 29 0001000000000010000000001111111111000000000000000000000000000001 +0 30 0001000000000010000000001111111111000000000000000000000000000001 +0 31 0001000000000010000000001111111111000000000000000000000000000001 +0 32 0001000000000001100110101111111111000000000000000000000000000001 +0 33 0001000000000001100110101111111111000000000000000000000000000001 +0 34 0001000000000001100110101111111111000000000000000000000000000001 +0 35 0001000000000001100110101111111111000000000000000000000000000001 +0 36 0001000000000001100110101111111111000000000000000000000000000001 +0 37 0001000000000001100110101111111111000000000000000000000000000001 +0 38 0001000000000001100110101111111111000000000000000000000000000001 +0 39 0001000000000001100110101111111111000000000000000000000000000001 +0 40 0001000000000001100110101111111111000000000000000000000000000001 +0 41 0001000000000001100110101111111111000000000000000000000000000001 +0 42 0001000000000001100110101111111111000000000000000000000000000001 +0 43 0001000000000001100110101111111111000000000000000000000000000001 +0 46 0001000000000001100110101111111111000000000000000000000000000001 +0 47 0001000000000001100110101111111111000000000000000000000000000001 +0 48 0001000000000010000000001111111111000000000000000000000000000001 +0 49 0001000000000010000000001111111111000000000000000000000000000001 +0 50 0001000000000010000000001111111111000000000000000000000000000001 +0 51 0001000000000010000000001111111111000000000000000000000000000001 +0 52 0001000000000010000000001111111111000000000000000000000000000001 +0 53 0001000000000010000000001111111111000000000000000000000000000001 +0 54 0001000000000010000000001111111111000000000000000000000000000001 +0 55 0001000000000010000000001111111111000000000000000000000000000001 +0 56 0001000000000010000000001111111111000000000000000000000000000001 +0 57 0001000000000010000000001111111111000000000000000000000000000001 +0 58 0001000000000010000000001111111111000000000000000000000000000001 +0 59 0001000000000010000000001111111111000000000000000000000000000001 +0 60 0001000000000010000000001111111111000000000000000000000000000001 +0 61 0001000000000010000000001111111111000000000000000000000000000001 +0 62 0001000000000010000000001111111111000000000000000000000000000001 +0 63 0001000000000010000000001111111111000000000000000000000000000001 +0 64 0001000000000010000000001111111111000000000000000000000000000001 +0 65 0001000000000010000000001111111111000000000000000000000000000001 +0 66 0001000000000010000000001111111111000000000000000000000000000001 +0 67 0001000000000010000000001111111111000000000000000000000000000001 +0 68 0001000000000010000000001111111111000000000000000000000000000001 +0 69 0001000000000010000000001111111111000000000000000000000000000001 +0 70 0001000000000010000000001111111111000000000000000000000000000001 +0 71 0001000000000010000000001111111111000000000000000000000000000001 +0 72 0001000000000010000000001111111111000000000000000000000000000001 +0 73 0001000000000010000000001111111111000000000000000000000000000001 +0 74 0001000000000010000000001111111111000000000000000000000000000001 +0 75 0001000000000010000000001111111111000000000000000000000000000001 +0 76 0001000000000010000000001111111111000000000000000000000000000001 +0 77 0001000000000010000000001111111111000000000000000000000000000001 +0 78 0001000000000010000000001111111111000000000000000000000000000001 +0 79 0001000000000010000000001111111111000000000000000000000000000001 +0 80 0001000000000010000000001111111111000000000000000000000000000001 +0 81 0001000000000010000000001111111111000000000000000000000000000001 +0 82 0001000000000010000000001111111111000000000000000000000000000001 +0 83 0001000000000010000000001111111111000000000000000000000000000001 +0 84 0001000000000010000000001111111111000000000000000000000000000001 +0 85 0001000000000010000000001111111111000000000000000000000000000001 +0 86 0001000000000010000000001111111111000000000000000000000000000001 +0 87 0001000000000010000000001111111111000000000000000000000000000001 +0 88 0001000000000010000000001111111111000000000000000000000000000001 +0 89 0001000000000010000000001111111111000000000000000000000000000001 +0 90 0001000000000010000000001111111111000000000000000000000000000001 +0 91 0001000000000010000000001111111111000000000000000000000000000001 +0 92 0001000000000010000000001111111111000000000000000000000000000001 +0 93 0001000000000010000000001111111111000000000000000000000000000001 +0 94 0001000000000010000000001111111111000000000000000000000000000001 +0 95 0001000000000010000000001111111111000000000000000000000000000001 +0 96 0001000000000010000000001111111111000000000000000000000000000001 +0 97 0001000000000010000000001111111111000000000000000000000000000001 +0 98 0001000000000010000000001111111111000000000000000000000000000001 +0 99 0001000000000010000000001111111111000000000000000000000000000001 +0 100 0001000000000010000000001111111111000000000000000000000000000001 +0 101 0001000000000010000000001111111111000000000000000000000000000001 +0 102 0001000000000010000000001111111111000000000000000000000000000001 +0 103 0001000000000010000000001111111111000000000000000000000000000001 +0 104 0001000000000010000000001111111111000000000000000000000000000001 +0 105 0001000000000010000000001111111111000000000000000000000000000001 +0 106 0001000000000010000000001111111111000000000000000000000000000001 +0 107 0001000000000010000000001111111111000000000000000000000000000001 +0 108 0001000000000010000000001111111111000000000000000000000000000001 +0 109 0001000000000010000000001111111111000000000000000000000000000001 +0 110 0001000000000010000000001111111111000000000000000000000000000001 +0 111 0001000000000010000000001111111111000000000000000000000000000001 +0 112 0001000000000010000000001111111111000000000000000000000000000001 +0 113 0001000000000010000000001111111111000000000000000000000000000001 +0 114 0001000000000010000000001111111111000000000000000000000000000001 +0 115 0001000000000010000000001111111111000000000000000000000000000001 +0 116 0001000000000010000000001111111111000000000000000000000000000001 +0 117 0001000000000010000000001111111111000000000000000000000000000001 +0 118 0001000000000010000000001111111111000000000000000000000000000001 +0 119 0001000000000010000000001111111111000000000000000000000000000001 +0 120 0001000000000010000000001111111111000000000000000000000000000001 +0 121 0001000000000010000000001111111111000000000000000000000000000001 +0 122 0001000000000010000000001111111111000000000000000000000000000001 +0 123 0001000000000010000000001111111111000000000000000000000000000001 +0 124 0001000000000010000000001111111111000000000000000000000000000001 +0 125 0001000000000010000000001111111111000000000000000000000000000001 +0 126 0001000000000010000000001111111111000000000000000000000000000001 +0 127 0001000000000010000000001111111111000000000000000000000000000001 +0 128 0001000000000010000000001111111111000000000000000000000000000001 +0 129 0001000000000010000000001111111111000000000000000000000000000001 +0 130 0001000000000010000000001111111111000000000000000000000000000001 +0 131 0001000000000010000000001111111111000000000000000000000000000001 +0 132 0001000000000010000000001111111111000000000000000000000000000001 +0 133 0001000000000010000000001111111111000000000000000000000000000001 +0 134 0001000000000010000000001111111111000000000000000000000000000001 +0 135 0001000000000010000000001111111111000000000000000000000000000001 +0 136 0001000000000010000000001111111111000000000000000000000000000001 +0 137 0001000000000010000000001111111111000000000000000000000000000001 +0 138 0001000000000010000000001111111111000000000000000000000000000001 +0 139 0001000000000010000000001111111111000000000000000000000000000001 +0 140 0001000000000010000000001111111111000000000000000000000000000001 +0 141 0001000000000010000000001111111111000000000000000000000000000001 +0 142 0001000000000010000000001111111111000000000000000000000000000001 +0 143 0001000000000010000000001111111111000000000000000000000000000001 +0 144 0001000000000010000000001111111111000000000000000000000000000001 +0 145 0001000000000010000000001111111111000000000000000000000000000001 +0 146 0001000000000010000000001111111111000000000000000000000000000001 +0 147 0001000000000010000000001111111111000000000000000000000000000001 +0 148 0001000000000010000000001111111111000000000000000000000000000001 +0 149 0001000000000010000000001111111111000000000000000000000000000001 +0 150 0001000000000010000000001111111111000000000000000000000000000001 +0 151 0001000000000010000000001111111111000000000000000000000000000001 +0 152 0001000000000010000000001111111111000000000000000000000000000001 +0 153 0001000000000010000000001111111111000000000000000000000000000001 +0 154 0001000000000010000000001111111111000000000000000000000000000001 +0 155 0001000000000010000000001111111111000000000000000000000000000001 +0 156 0001000000000010000000001111111111000000000000000000000000000001 +0 157 0001000000000010000000001111111111000000000000000000000000000001 +0 158 0001000000000010000000001111111111000000000000000000000000000001 +0 159 0001000000000010000000001111111111000000000000000000000000000001 +0 160 0001000000000001100110101111111111000000000000000000000000000001 +0 161 0001000000000001100110101111111111000000000000000000000000000001 +0 162 0001000000000001100110101111111111000000000000000000000000000001 +0 163 0001000000000001100110101111111111000000000000000000000000000001 +0 164 0001000000000001100110101111111111000000000000000000000000000001 +0 165 0001000000000001100110101111111111000000000000000000000000000001 +0 166 0001000000000001100110101111111111000000000000000000000000000001 +0 167 0001000000000001100110101111111111000000000000000000000000000001 +0 168 0001000000000001100110101111111111000000000000000000000000000001 +0 169 0001000000000001100110101111111111000000000000000000000000000001 +0 170 0001000000000001100110101111111111000000000000000000000000000001 +0 171 0001000000000001100110101111111111000000000000000000000000000001 +0 174 0001000000000001100110101111111111000000000000000000000000000001 +0 175 0001000000000001100110101111111111000000000000000000000000000001 +0 176 0001000000000010000000001111111111000000000000000000000000000001 +0 177 0001000000000010000000001111111111000000000000000000000000000001 +0 178 0001000000000010000000001111111111000000000000000000000000000001 +0 179 0001000000000010000000001111111111000000000000000000000000000001 +0 180 0001000000000010000000001111111111000000000000000000000000000001 +0 181 0001000000000010000000001111111111000000000000000000000000000001 +0 182 0001000000000010000000001111111111000000000000000000000000000001 +0 183 0001000000000010000000001111111111000000000000000000000000000001 +0 184 0001000000000010000000001111111111000000000000000000000000000001 +0 185 0001000000000010000000001111111111000000000000000000000000000001 +0 186 0001000000000010000000001111111111000000000000000000000000000001 +0 187 0001000000000010000000001111111111000000000000000000000000000001 +0 188 0001000000000010000000001111111111000000000000000000000000000001 +0 189 0001000000000010000000001111111111000000000000000000000000000001 +0 190 0001000000000010000000001111111111000000000000000000000000000001 +0 191 0001000000000010000000001111111111000000000000000000000000000001 +0 192 0001000000000010000000001111111111000000000000000000000000000001 +0 193 0001000000000010000000001111111111000000000000000000000000000001 +0 194 0001000000000010000000001111111111000000000000000000000000000001 +0 195 0001000000000010000000001111111111000000000000000000000000000001 +0 196 0001000000000010000000001111111111000000000000000000000000000001 +0 197 0001000000000010000000001111111111000000000000000000000000000001 +0 198 0001000000000010000000001111111111000000000000000000000000000001 +0 199 0001000000000010000000001111111111000000000000000000000000000001 +0 200 0001000000000010000000001111111111000000000000000000000000000001 +0 201 0001000000000010000000001111111111000000000000000000000000000001 +0 202 0001000000000010000000001111111111000000000000000000000000000001 +0 203 0001000000000010000000001111111111000000000000000000000000000001 +0 204 0001000000000010000000001111111111000000000000000000000000000001 +0 205 0001000000000010000000001111111111000000000000000000000000000001 +0 206 0001000000000010000000001111111111000000000000000000000000000001 +0 207 0001000000000010000000001111111111000000000000000000000000000001 +0 208 0001000000000010000000001111111111000000000000000000000000000001 +0 209 0001000000000010000000001111111111000000000000000000000000000001 +0 210 0001000000000010000000001111111111000000000000000000000000000001 +0 211 0001000000000010000000001111111111000000000000000000000000000001 +0 212 0001000000000010000000001111111111000000000000000000000000000001 +0 213 0001000000000010000000001111111111000000000000000000000000000001 +0 214 0001000000000010000000001111111111000000000000000000000000000001 +0 215 0001000000000010000000001111111111000000000000000000000000000001 +0 216 0001000000000010000000001111111111000000000000000000000000000001 +0 217 0001000000000010000000001111111111000000000000000000000000000001 +0 218 0001000000000010000000001111111111000000000000000000000000000001 +0 219 0001000000000010000000001111111111000000000000000000000000000001 +0 220 0001000000000010000000001111111111000000000000000000000000000001 +0 221 0001000000000010000000001111111111000000000000000000000000000001 +0 222 0001000000000010000000001111111111000000000000000000000000000001 +0 223 0001000000000010000000001111111111000000000000000000000000000001 +0 224 0001000000000010000000001111111111000000000000000000000000000001 +0 225 0001000000000010000000001111111111000000000000000000000000000001 +0 226 0001000000000010000000001111111111000000000000000000000000000001 +0 227 0001000000000010000000001111111111000000000000000000000000000001 +0 228 0001000000000010000000001111111111000000000000000000000000000001 +0 229 0001000000000010000000001111111111000000000000000000000000000001 +0 230 0001000000000010000000001111111111000000000000000000000000000001 +0 231 0001000000000010000000001111111111000000000000000000000000000001 +0 232 0001000000000010000000001111111111000000000000000000000000000001 +0 233 0001000000000010000000001111111111000000000000000000000000000001 +0 234 0001000000000010000000001111111111000000000000000000000000000001 +0 235 0001000000000010000000001111111111000000000000000000000000000001 +0 236 0001000000000010000000001111111111000000000000000000000000000001 +0 237 0001000000000010000000001111111111000000000000000000000000000001 +0 238 0001000000000010000000001111111111000000000000000000000000000001 +0 239 0001000000000010000000001111111111000000000000000000000000000001 +0 240 0001000000000010000000001111111111000000000000000000000000000001 +0 241 0001000000000010000000001111111111000000000000000000000000000001 +0 242 0001000000000010000000001111111111000000000000000000000000000001 +0 243 0001000000000010000000001111111111000000000000000000000000000001 +0 244 0001000000000010000000001111111111000000000000000000000000000001 +0 245 0001000000000010000000001111111111000000000000000000000000000001 +0 246 0001000000000010000000001111111111000000000000000000000000000001 +0 247 0001000000000010000000001111111111000000000000000000000000000001 +0 248 0001000000000010000000001111111111000000000000000000000000000001 +0 249 0001000000000010000000001111111111000000000000000000000000000001 +0 250 0001000000000010000000001111111111000000000000000000000000000001 +0 251 0001000000000010000000001111111111000000000000000000000000000001 +0 252 0001000000000010000000001111111111000000000000000000000000000001 +0 253 0001000000000010000000001111111111000000000000000000000000000001 +0 254 0001000000000010000000001111111111000000000000000000000000000001 +0 255 0001000000000010000000001111111111000000000000000000000000000001 +0 264 0001000000000001100110101111111111000000000000000000000000000001 +0 265 0001000000000001100110101111111111000000000000000000000000000001 +0 272 0001000000000010000000001111111111000000000000000000000000000001 +0 273 0001000000000010000000001111111111000000000000000000000000000001 +0 274 0001000000000010000000001111111111000000000000000000000000000001 +0 275 0001000000000010000000001111111111000000000000000000000000000001 +0 276 0001000000000010000000001111111111000000000000000000000000000001 +0 277 0001000000000010000000001111111111000000000000000000000000000001 +0 278 0001000000000010000000001111111111000000000000000000000000000001 +0 279 0001000000000010000000001111111111000000000000000000000000000001 +0 280 0001000000000010000000001111111111000000000000000000000000000001 +0 281 0001000000000010000000001111111111000000000000000000000000000001 +0 282 0001000000000010000000001111111111000000000000000000000000000001 +0 283 0001000000000010000000001111111111000000000000000000000000000001 +0 284 0001000000000010000000001111111111000000000000000000000000000001 +0 285 0001000000000010000000001111111111000000000000000000000000000001 +0 286 0001000000000010000000001111111111000000000000000000000000000001 +0 287 0001000000000010000000001111111111000000000000000000000000000001 +0 296 0001000000000001100110101111111111000000000000000000000000000001 +0 297 0001000000000001100110101111111111000000000000000000000000000001 +0 302 0001000000000001100110101111111111000000000000000000000000000001 +0 303 0001000000000001100110101111111111000000000000000000000000000001 +0 304 0001000000000010000000001111111111000000000000000000000000000001 +0 305 0001000000000010000000001111111111000000000000000000000000000001 +0 306 0001000000000010000000001111111111000000000000000000000000000001 +0 307 0001000000000010000000001111111111000000000000000000000000000001 +0 308 0001000000000010000000001111111111000000000000000000000000000001 +0 309 0001000000000010000000001111111111000000000000000000000000000001 +0 310 0001000000000010000000001111111111000000000000000000000000000001 +0 311 0001000000000010000000001111111111000000000000000000000000000001 +0 312 0001000000000010000000001111111111000000000000000000000000000001 +0 313 0001000000000010000000001111111111000000000000000000000000000001 +0 314 0001000000000010000000001111111111000000000000000000000000000001 +0 315 0001000000000010000000001111111111000000000000000000000000000001 +0 316 0001000000000010000000001111111111000000000000000000000000000001 +0 317 0001000000000010000000001111111111000000000000000000000000000001 +0 318 0001000000000010000000001111111111000000000000000000000000000001 +0 319 0001000000000010000000001111111111000000000000000000000000000001 +0 320 0001000000000001100110101111111111000000000000000000000000000001 +0 321 0001000000000001100110101111111111000000000000000000000000000001 +0 322 0001000000000001100110101111111111000000000000000000000000000001 +0 323 0001000000000001100110101111111111000000000000000000000000000001 +0 324 0001000000000001100110101111111111000000000000000000000000000001 +0 325 0001000000000001100110101111111111000000000000000000000000000001 +0 326 0001000000000001100110101111111111000000000000000000000000000001 +0 327 0001000000000001100110101111111111000000000000000000000000000001 +0 328 0001000000000001100110101111111111000000000000000000000000000001 +0 329 0001000000000001100110101111111111000000000000000000000000000001 +0 332 0001000000000001100110101111111111000000000000000000000000000001 +0 333 0001000000000001100110101111111111000000000000000000000000000001 +0 334 0001000000000001100110101111111111000000000000000000000000000001 +0 335 0001000000000001100110101111111111000000000000000000000000000001 +0 336 0001000000000010000000001111111111000000000000000000000000000001 +0 337 0001000000000010000000001111111111000000000000000000000000000001 +0 338 0001000000000010000000001111111111000000000000000000000000000001 +0 339 0001000000000010000000001111111111000000000000000000000000000001 +0 340 0001000000000010000000001111111111000000000000000000000000000001 +0 341 0001000000000010000000001111111111000000000000000000000000000001 +0 342 0001000000000010000000001111111111000000000000000000000000000001 +0 343 0001000000000010000000001111111111000000000000000000000000000001 +0 344 0001000000000010000000001111111111000000000000000000000000000001 +0 345 0001000000000010000000001111111111000000000000000000000000000001 +0 346 0001000000000010000000001111111111000000000000000000000000000001 +0 347 0001000000000010000000001111111111000000000000000000000000000001 +0 348 0001000000000010000000001111111111000000000000000000000000000001 +0 349 0001000000000010000000001111111111000000000000000000000000000001 +0 350 0001000000000010000000001111111111000000000000000000000000000001 +0 351 0001000000000010000000001111111111000000000000000000000000000001 +0 352 0001000000000001100110101111111111000000000000000000000000000001 +0 353 0001000000000001100110101111111111000000000000000000000000000001 +0 354 0001000000000001100110101111111111000000000000000000000000000001 +0 355 0001000000000001100110101111111111000000000000000000000000000001 +0 356 0001000000000001100110101111111111000000000000000000000000000001 +0 357 0001000000000001100110101111111111000000000000000000000000000001 +0 358 0001000000000001100110101111111111000000000000000000000000000001 +0 359 0001000000000001100110101111111111000000000000000000000000000001 +0 360 0001000000000001100110101111111111000000000000000000000000000001 +0 361 0001000000000001100110101111111111000000000000000000000000000001 +0 364 0001000000000001100110101111111111000000000000000000000000000001 +0 365 0001000000000001100110101111111111000000000000000000000000000001 +0 366 0001000000000001100110101111111111000000000000000000000000000001 +0 367 0001000000000001100110101111111111000000000000000000000000000001 +0 368 0001000000000010000000001111111111000000000000000000000000000001 +0 369 0001000000000010000000001111111111000000000000000000000000000001 +0 370 0001000000000010000000001111111111000000000000000000000000000001 +0 371 0001000000000010000000001111111111000000000000000000000000000001 +0 372 0001000000000010000000001111111111000000000000000000000000000001 +0 373 0001000000000010000000001111111111000000000000000000000000000001 +0 374 0001000000000010000000001111111111000000000000000000000000000001 +0 375 0001000000000010000000001111111111000000000000000000000000000001 +0 376 0001000000000010000000001111111111000000000000000000000000000001 +0 377 0001000000000010000000001111111111000000000000000000000000000001 +0 378 0001000000000010000000001111111111000000000000000000000000000001 +0 379 0001000000000010000000001111111111000000000000000000000000000001 +0 380 0001000000000010000000001111111111000000000000000000000000000001 +0 381 0001000000000010000000001111111111000000000000000000000000000001 +0 382 0001000000000010000000001111111111000000000000000000000000000001 +0 383 0001000000000010000000001111111111000000000000000000000000000001 +0 384 0001000000000010000000001111111111000000000000000000000000000001 +0 385 0001000000000010000000001111111111000000000000000000000000000001 +0 386 0001000000000010000000001111111111000000000000000000000000000001 +0 387 0001000000000010000000001111111111000000000000000000000000000001 +0 388 0001000000000010000000001111111111000000000000000000000000000001 +0 389 0001000000000010000000001111111111000000000000000000000000000001 +0 390 0001000000000010000000001111111111000000000000000000000000000001 +0 391 0001000000000010000000001111111111000000000000000000000000000001 +0 392 0001000000000010000000001111111111000000000000000000000000000001 +0 393 0001000000000010000000001111111111000000000000000000000000000001 +0 394 0001000000000010000000001111111111000000000000000000000000000001 +0 395 0001000000000010000000001111111111000000000000000000000000000001 +0 396 0001000000000010000000001111111111000000000000000000000000000001 +0 397 0001000000000010000000001111111111000000000000000000000000000001 +0 398 0001000000000010000000001111111111000000000000000000000000000001 +0 399 0001000000000010000000001111111111000000000000000000000000000001 +0 400 0001000000000010000000001111111111000000000000000000000000000001 +0 401 0001000000000010000000001111111111000000000000000000000000000001 +0 402 0001000000000010000000001111111111000000000000000000000000000001 +0 403 0001000000000010000000001111111111000000000000000000000000000001 +0 404 0001000000000010000000001111111111000000000000000000000000000001 +0 405 0001000000000010000000001111111111000000000000000000000000000001 +0 406 0001000000000010000000001111111111000000000000000000000000000001 +0 407 0001000000000010000000001111111111000000000000000000000000000001 +0 408 0001000000000010000000001111111111000000000000000000000000000001 +0 409 0001000000000010000000001111111111000000000000000000000000000001 +0 410 0001000000000010000000001111111111000000000000000000000000000001 +0 411 0001000000000010000000001111111111000000000000000000000000000001 +0 412 0001000000000010000000001111111111000000000000000000000000000001 +0 413 0001000000000010000000001111111111000000000000000000000000000001 +0 414 0001000000000010000000001111111111000000000000000000000000000001 +0 415 0001000000000010000000001111111111000000000000000000000000000001 +0 416 0001000000000001100110101111111111000000000000000000000000000001 +0 417 0001000000000001100110101111111111000000000000000000000000000001 +0 418 0001000000000001100110101111111111000000000000000000000000000001 +0 419 0001000000000001100110101111111111000000000000000000000000000001 +0 420 0001000000000001100110101111111111000000000000000000000000000001 +0 421 0001000000000001100110101111111111000000000000000000000000000001 +0 422 0001000000000001100110101111111111000000000000000000000000000001 +0 423 0001000000000001100110101111111111000000000000000000000000000001 +0 424 0001000000000001100110101111111111000000000000000000000000000001 +0 425 0001000000000001100110101111111111000000000000000000000000000001 +0 426 0001000000000001100110101111111111000000000000000000000000000001 +0 427 0001000000000001100110101111111111000000000000000000000000000001 +0 430 0001000000000001100110101111111111000000000000000000000000000001 +0 431 0001000000000001100110101111111111000000000000000000000000000001 +0 432 0001000000000010000000001111111111000000000000000000000000000001 +0 433 0001000000000010000000001111111111000000000000000000000000000001 +0 434 0001000000000010000000001111111111000000000000000000000000000001 +0 435 0001000000000010000000001111111111000000000000000000000000000001 +0 436 0001000000000010000000001111111111000000000000000000000000000001 +0 437 0001000000000010000000001111111111000000000000000000000000000001 +0 438 0001000000000010000000001111111111000000000000000000000000000001 +0 439 0001000000000010000000001111111111000000000000000000000000000001 +0 440 0001000000000010000000001111111111000000000000000000000000000001 +0 441 0001000000000010000000001111111111000000000000000000000000000001 +0 442 0001000000000010000000001111111111000000000000000000000000000001 +0 443 0001000000000010000000001111111111000000000000000000000000000001 +0 444 0001000000000010000000001111111111000000000000000000000000000001 +0 445 0001000000000010000000001111111111000000000000000000000000000001 +0 446 0001000000000010000000001111111111000000000000000000000000000001 +0 447 0001000000000010000000001111111111000000000000000000000000000001 +0 448 0001000000000010000000001111111111000000000000000000000000000001 +0 449 0001000000000010000000001111111111000000000000000000000000000001 +0 450 0001000000000010000000001111111111000000000000000000000000000001 +0 451 0001000000000010000000001111111111000000000000000000000000000001 +0 452 0001000000000010000000001111111111000000000000000000000000000001 +0 453 0001000000000010000000001111111111000000000000000000000000000001 +0 454 0001000000000010000000001111111111000000000000000000000000000001 +0 455 0001000000000010000000001111111111000000000000000000000000000001 +0 456 0001000000000010000000001111111111000000000000000000000000000001 +0 457 0001000000000010000000001111111111000000000000000000000000000001 +0 458 0001000000000010000000001111111111000000000000000000000000000001 +0 459 0001000000000010000000001111111111000000000000000000000000000001 +0 460 0001000000000010000000001111111111000000000000000000000000000001 +0 461 0001000000000010000000001111111111000000000000000000000000000001 +0 462 0001000000000010000000001111111111000000000000000000000000000001 +0 463 0001000000000010000000001111111111000000000000000000000000000001 +0 464 0001000000000010000000001111111111000000000000000000000000000001 +0 465 0001000000000010000000001111111111000000000000000000000000000001 +0 466 0001000000000010000000001111111111000000000000000000000000000001 +0 467 0001000000000010000000001111111111000000000000000000000000000001 +0 468 0001000000000010000000001111111111000000000000000000000000000001 +0 469 0001000000000010000000001111111111000000000000000000000000000001 +0 470 0001000000000010000000001111111111000000000000000000000000000001 +0 471 0001000000000010000000001111111111000000000000000000000000000001 +0 472 0001000000000010000000001111111111000000000000000000000000000001 +0 473 0001000000000010000000001111111111000000000000000000000000000001 +0 474 0001000000000010000000001111111111000000000000000000000000000001 +0 475 0001000000000010000000001111111111000000000000000000000000000001 +0 476 0001000000000010000000001111111111000000000000000000000000000001 +0 477 0001000000000010000000001111111111000000000000000000000000000001 +0 478 0001000000000010000000001111111111000000000000000000000000000001 +0 479 0001000000000010000000001111111111000000000000000000000000000001 +0 480 0001000000000010000000001111111111000000000000000000000000000001 +0 481 0001000000000010000000001111111111000000000000000000000000000001 +0 482 0001000000000010000000001111111111000000000000000000000000000001 +0 483 0001000000000010000000001111111111000000000000000000000000000001 +0 484 0001000000000010000000001111111111000000000000000000000000000001 +0 485 0001000000000010000000001111111111000000000000000000000000000001 +0 486 0001000000000010000000001111111111000000000000000000000000000001 +0 487 0001000000000010000000001111111111000000000000000000000000000001 +0 488 0001000000000010000000001111111111000000000000000000000000000001 +0 489 0001000000000010000000001111111111000000000000000000000000000001 +0 490 0001000000000010000000001111111111000000000000000000000000000001 +0 491 0001000000000010000000001111111111000000000000000000000000000001 +0 492 0001000000000010000000001111111111000000000000000000000000000001 +0 493 0001000000000010000000001111111111000000000000000000000000000001 +0 494 0001000000000010000000001111111111000000000000000000000000000001 +0 495 0001000000000010000000001111111111000000000000000000000000000001 +0 496 0001000000000010000000001111111111000000000000000000000000000001 +0 497 0001000000000010000000001111111111000000000000000000000000000001 +0 498 0001000000000010000000001111111111000000000000000000000000000001 +0 499 0001000000000010000000001111111111000000000000000000000000000001 +0 500 0001000000000010000000001111111111000000000000000000000000000001 +0 501 0001000000000010000000001111111111000000000000000000000000000001 +0 502 0001000000000010000000001111111111000000000000000000000000000001 +0 503 0001000000000010000000001111111111000000000000000000000000000001 +0 504 0001000000000010000000001111111111000000000000000000000000000001 +0 505 0001000000000010000000001111111111000000000000000000000000000001 +0 506 0001000000000010000000001111111111000000000000000000000000000001 +0 507 0001000000000010000000001111111111000000000000000000000000000001 +0 508 0001000000000010000000001111111111000000000000000000000000000001 +0 509 0001000000000010000000001111111111000000000000000000000000000001 +0 510 0001000000000010000000001111111111000000000000000000000000000001 +0 511 0001000000000010000000001111111111000000000000000000000000000001 +0 512 0001000000000010000000001111111111000000000000000000000000000001 +0 513 0001000000000010000000001111111111000000000000000000000000000001 +0 514 0001000000000010000000001111111111000000000000000000000000000001 +0 515 0001000000000010000000001111111111000000000000000000000000000001 +0 516 0001000000000010000000001111111111000000000000000000000000000001 +0 517 0001000000000010000000001111111111000000000000000000000000000001 +0 518 0001000000000010000000001111111111000000000000000000000000000001 +0 519 0001000000000010000000001111111111000000000000000000000000000001 +0 520 0001000000000010000000001111111111000000000000000000000000000001 +0 521 0001000000000010000000001111111111000000000000000000000000000001 +0 522 0001000000000010000000001111111111000000000000000000000000000001 +0 523 0001000000000010000000001111111111000000000000000000000000000001 +0 524 0001000000000010000000001111111111000000000000000000000000000001 +0 525 0001000000000010000000001111111111000000000000000000000000000001 +0 526 0001000000000010000000001111111111000000000000000000000000000001 +0 527 0001000000000010000000001111111111000000000000000000000000000001 +0 528 0001000000000010000000001111111111000000000000000000000000000001 +0 529 0001000000000010000000001111111111000000000000000000000000000001 +0 530 0001000000000010000000001111111111000000000000000000000000000001 +0 531 0001000000000010000000001111111111000000000000000000000000000001 +0 532 0001000000000010000000001111111111000000000000000000000000000001 +0 533 0001000000000010000000001111111111000000000000000000000000000001 +0 534 0001000000000010000000001111111111000000000000000000000000000001 +0 535 0001000000000010000000001111111111000000000000000000000000000001 +0 536 0001000000000010000000001111111111000000000000000000000000000001 +0 537 0001000000000010000000001111111111000000000000000000000000000001 +0 538 0001000000000010000000001111111111000000000000000000000000000001 +0 539 0001000000000010000000001111111111000000000000000000000000000001 +0 540 0001000000000010000000001111111111000000000000000000000000000001 +0 541 0001000000000010000000001111111111000000000000000000000000000001 +0 542 0001000000000010000000001111111111000000000000000000000000000001 +0 543 0001000000000010000000001111111111000000000000000000000000000001 +0 544 0001000000000010000000001111111111000000000000000000000000000001 +0 545 0001000000000010000000001111111111000000000000000000000000000001 +0 546 0001000000000010000000001111111111000000000000000000000000000001 +0 547 0001000000000010000000001111111111000000000000000000000000000001 +0 548 0001000000000010000000001111111111000000000000000000000000000001 +0 549 0001000000000010000000001111111111000000000000000000000000000001 +0 550 0001000000000010000000001111111111000000000000000000000000000001 +0 551 0001000000000010000000001111111111000000000000000000000000000001 +0 552 0001000000000010000000001111111111000000000000000000000000000001 +0 553 0001000000000010000000001111111111000000000000000000000000000001 +0 554 0001000000000010000000001111111111000000000000000000000000000001 +0 555 0001000000000010000000001111111111000000000000000000000000000001 +0 556 0001000000000010000000001111111111000000000000000000000000000001 +0 557 0001000000000010000000001111111111000000000000000000000000000001 +0 558 0001000000000010000000001111111111000000000000000000000000000001 +0 559 0001000000000010000000001111111111000000000000000000000000000001 +0 560 0001000000000010000000001111111111000000000000000000000000000001 +0 561 0001000000000010000000001111111111000000000000000000000000000001 +0 562 0001000000000010000000001111111111000000000000000000000000000001 +0 563 0001000000000010000000001111111111000000000000000000000000000001 +0 564 0001000000000010000000001111111111000000000000000000000000000001 +0 565 0001000000000010000000001111111111000000000000000000000000000001 +0 566 0001000000000010000000001111111111000000000000000000000000000001 +0 567 0001000000000010000000001111111111000000000000000000000000000001 +0 568 0001000000000010000000001111111111000000000000000000000000000001 +0 569 0001000000000010000000001111111111000000000000000000000000000001 +0 570 0001000000000010000000001111111111000000000000000000000000000001 +0 571 0001000000000010000000001111111111000000000000000000000000000001 +0 572 0001000000000010000000001111111111000000000000000000000000000001 +0 573 0001000000000010000000001111111111000000000000000000000000000001 +0 574 0001000000000010000000001111111111000000000000000000000000000001 +0 575 0001000000000010000000001111111111000000000000000000000000000001 +0 576 0001000000000010000000001111111111000000000000000000000000000001 +0 577 0001000000000010000000001111111111000000000000000000000000000001 +0 578 0001000000000010000000001111111111000000000000000000000000000001 +0 579 0001000000000010000000001111111111000000000000000000000000000001 +0 580 0001000000000010000000001111111111000000000000000000000000000001 +0 581 0001000000000010000000001111111111000000000000000000000000000001 +0 582 0001000000000010000000001111111111000000000000000000000000000001 +0 583 0001000000000010000000001111111111000000000000000000000000000001 +0 584 0001000000000010000000001111111111000000000000000000000000000001 +0 585 0001000000000010000000001111111111000000000000000000000000000001 +0 586 0001000000000010000000001111111111000000000000000000000000000001 +0 587 0001000000000010000000001111111111000000000000000000000000000001 +0 588 0001000000000010000000001111111111000000000000000000000000000001 +0 589 0001000000000010000000001111111111000000000000000000000000000001 +0 590 0001000000000010000000001111111111000000000000000000000000000001 +0 591 0001000000000010000000001111111111000000000000000000000000000001 +0 592 0001000000000010000000001111111111000000000000000000000000000001 +0 593 0001000000000010000000001111111111000000000000000000000000000001 +0 594 0001000000000010000000001111111111000000000000000000000000000001 +0 595 0001000000000010000000001111111111000000000000000000000000000001 +0 596 0001000000000010000000001111111111000000000000000000000000000001 +0 597 0001000000000010000000001111111111000000000000000000000000000001 +0 598 0001000000000010000000001111111111000000000000000000000000000001 +0 599 0001000000000010000000001111111111000000000000000000000000000001 +0 600 0001000000000010000000001111111111000000000000000000000000000001 +0 601 0001000000000010000000001111111111000000000000000000000000000001 +0 602 0001000000000010000000001111111111000000000000000000000000000001 +0 603 0001000000000010000000001111111111000000000000000000000000000001 +0 604 0001000000000010000000001111111111000000000000000000000000000001 +0 605 0001000000000010000000001111111111000000000000000000000000000001 +0 606 0001000000000010000000001111111111000000000000000000000000000001 +0 607 0001000000000010000000001111111111000000000000000000000000000001 +0 608 0001000000000010000000001111111111000000000000000000000000000001 +0 609 0001000000000010000000001111111111000000000000000000000000000001 +0 610 0001000000000010000000001111111111000000000000000000000000000001 +0 611 0001000000000010000000001111111111000000000000000000000000000001 +0 612 0001000000000010000000001111111111000000000000000000000000000001 +0 613 0001000000000010000000001111111111000000000000000000000000000001 +0 614 0001000000000010000000001111111111000000000000000000000000000001 +0 615 0001000000000010000000001111111111000000000000000000000000000001 +0 616 0001000000000010000000001111111111000000000000000000000000000001 +0 617 0001000000000010000000001111111111000000000000000000000000000001 +0 618 0001000000000010000000001111111111000000000000000000000000000001 +0 619 0001000000000010000000001111111111000000000000000000000000000001 +0 620 0001000000000010000000001111111111000000000000000000000000000001 +0 621 0001000000000010000000001111111111000000000000000000000000000001 +0 622 0001000000000010000000001111111111000000000000000000000000000001 +0 623 0001000000000010000000001111111111000000000000000000000000000001 +0 624 0001000000000010000000001111111111000000000000000000000000000001 +0 625 0001000000000010000000001111111111000000000000000000000000000001 +0 626 0001000000000010000000001111111111000000000000000000000000000001 +0 627 0001000000000010000000001111111111000000000000000000000000000001 +0 628 0001000000000010000000001111111111000000000000000000000000000001 +0 629 0001000000000010000000001111111111000000000000000000000000000001 +0 630 0001000000000010000000001111111111000000000000000000000000000001 +0 631 0001000000000010000000001111111111000000000000000000000000000001 +0 632 0001000000000010000000001111111111000000000000000000000000000001 +0 633 0001000000000010000000001111111111000000000000000000000000000001 +0 634 0001000000000010000000001111111111000000000000000000000000000001 +0 635 0001000000000010000000001111111111000000000000000000000000000001 +0 636 0001000000000010000000001111111111000000000000000000000000000001 +0 637 0001000000000010000000001111111111000000000000000000000000000001 +0 638 0001000000000010000000001111111111000000000000000000000000000001 +0 639 0001000000000010000000001111111111000000000000000000000000000001 +0 640 0001000000000010000000001111111111000000000000000000000000000001 +0 641 0001000000000010000000001111111111000000000000000000000000000001 +0 642 0001000000000010000000001111111111000000000000000000000000000001 +0 643 0001000000000010000000001111111111000000000000000000000000000001 +0 644 0001000000000010000000001111111111000000000000000000000000000001 +0 645 0001000000000010000000001111111111000000000000000000000000000001 +0 646 0001000000000010000000001111111111000000000000000000000000000001 +0 647 0001000000000010000000001111111111000000000000000000000000000001 +0 648 0001000000000010000000001111111111000000000000000000000000000001 +0 649 0001000000000010000000001111111111000000000000000000000000000001 +0 650 0001000000000010000000001111111111000000000000000000000000000001 +0 651 0001000000000010000000001111111111000000000000000000000000000001 +0 652 0001000000000010000000001111111111000000000000000000000000000001 +0 653 0001000000000010000000001111111111000000000000000000000000000001 +0 654 0001000000000010000000001111111111000000000000000000000000000001 +0 655 0001000000000010000000001111111111000000000000000000000000000001 +0 656 0001000000000010000000001111111111000000000000000000000000000001 +0 657 0001000000000010000000001111111111000000000000000000000000000001 +0 658 0001000000000010000000001111111111000000000000000000000000000001 +0 659 0001000000000010000000001111111111000000000000000000000000000001 +0 660 0001000000000010000000001111111111000000000000000000000000000001 +0 661 0001000000000010000000001111111111000000000000000000000000000001 +0 662 0001000000000010000000001111111111000000000000000000000000000001 +0 663 0001000000000010000000001111111111000000000000000000000000000001 +0 664 0001000000000010000000001111111111000000000000000000000000000001 +0 665 0001000000000010000000001111111111000000000000000000000000000001 +0 666 0001000000000010000000001111111111000000000000000000000000000001 +0 667 0001000000000010000000001111111111000000000000000000000000000001 +0 668 0001000000000010000000001111111111000000000000000000000000000001 +0 669 0001000000000010000000001111111111000000000000000000000000000001 +0 670 0001000000000010000000001111111111000000000000000000000000000001 +0 671 0001000000000010000000001111111111000000000000000000000000000001 +0 672 0001000000000010000000001111111111000000000000000000000000000001 +0 673 0001000000000010000000001111111111000000000000000000000000000001 +0 674 0001000000000010000000001111111111000000000000000000000000000001 +0 675 0001000000000010000000001111111111000000000000000000000000000001 +0 676 0001000000000010000000001111111111000000000000000000000000000001 +0 677 0001000000000010000000001111111111000000000000000000000000000001 +0 678 0001000000000010000000001111111111000000000000000000000000000001 +0 679 0001000000000010000000001111111111000000000000000000000000000001 +0 680 0001000000000010000000001111111111000000000000000000000000000001 +0 681 0001000000000010000000001111111111000000000000000000000000000001 +0 682 0001000000000010000000001111111111000000000000000000000000000001 +0 683 0001000000000010000000001111111111000000000000000000000000000001 +0 684 0001000000000010000000001111111111000000000000000000000000000001 +0 685 0001000000000010000000001111111111000000000000000000000000000001 +0 686 0001000000000010000000001111111111000000000000000000000000000001 +0 687 0001000000000010000000001111111111000000000000000000000000000001 +0 688 0001000000000010000000001111111111000000000000000000000000000001 +0 689 0001000000000010000000001111111111000000000000000000000000000001 +0 690 0001000000000010000000001111111111000000000000000000000000000001 +0 691 0001000000000010000000001111111111000000000000000000000000000001 +0 692 0001000000000010000000001111111111000000000000000000000000000001 +0 693 0001000000000010000000001111111111000000000000000000000000000001 +0 694 0001000000000010000000001111111111000000000000000000000000000001 +0 695 0001000000000010000000001111111111000000000000000000000000000001 +0 696 0001000000000010000000001111111111000000000000000000000000000001 +0 697 0001000000000010000000001111111111000000000000000000000000000001 +0 698 0001000000000010000000001111111111000000000000000000000000000001 +0 699 0001000000000010000000001111111111000000000000000000000000000001 +0 700 0001000000000010000000001111111111000000000000000000000000000001 +0 701 0001000000000010000000001111111111000000000000000000000000000001 +0 702 0001000000000010000000001111111111000000000000000000000000000001 +0 703 0001000000000010000000001111111111000000000000000000000000000001 +0 704 0001000000000010000000001111111111000000000000000000000000000001 +0 705 0001000000000010000000001111111111000000000000000000000000000001 +0 706 0001000000000010000000001111111111000000000000000000000000000001 +0 707 0001000000000010000000001111111111000000000000000000000000000001 +0 708 0001000000000010000000001111111111000000000000000000000000000001 +0 709 0001000000000010000000001111111111000000000000000000000000000001 +0 710 0001000000000010000000001111111111000000000000000000000000000001 +0 711 0001000000000010000000001111111111000000000000000000000000000001 +0 712 0001000000000010000000001111111111000000000000000000000000000001 +0 713 0001000000000010000000001111111111000000000000000000000000000001 +0 714 0001000000000010000000001111111111000000000000000000000000000001 +0 715 0001000000000010000000001111111111000000000000000000000000000001 +0 716 0001000000000010000000001111111111000000000000000000000000000001 +0 717 0001000000000010000000001111111111000000000000000000000000000001 +0 718 0001000000000010000000001111111111000000000000000000000000000001 +0 719 0001000000000010000000001111111111000000000000000000000000000001 +0 720 0001000000000010000000001111111111000000000000000000000000000001 +0 721 0001000000000010000000001111111111000000000000000000000000000001 +0 722 0001000000000010000000001111111111000000000000000000000000000001 +0 723 0001000000000010000000001111111111000000000000000000000000000001 +0 724 0001000000000010000000001111111111000000000000000000000000000001 +0 725 0001000000000010000000001111111111000000000000000000000000000001 +0 726 0001000000000010000000001111111111000000000000000000000000000001 +0 727 0001000000000010000000001111111111000000000000000000000000000001 +0 728 0001000000000010000000001111111111000000000000000000000000000001 +0 729 0001000000000010000000001111111111000000000000000000000000000001 +0 730 0001000000000010000000001111111111000000000000000000000000000001 +0 731 0001000000000010000000001111111111000000000000000000000000000001 +0 732 0001000000000010000000001111111111000000000000000000000000000001 +0 733 0001000000000010000000001111111111000000000000000000000000000001 +0 734 0001000000000010000000001111111111000000000000000000000000000001 +0 735 0001000000000010000000001111111111000000000000000000000000000001 +0 736 0001000000000010000000001111111111000000000000000000000000000001 +0 737 0001000000000010000000001111111111000000000000000000000000000001 +0 738 0001000000000010000000001111111111000000000000000000000000000001 +0 739 0001000000000010000000001111111111000000000000000000000000000001 +0 740 0001000000000010000000001111111111000000000000000000000000000001 +0 741 0001000000000010000000001111111111000000000000000000000000000001 +0 742 0001000000000010000000001111111111000000000000000000000000000001 +0 743 0001000000000010000000001111111111000000000000000000000000000001 +0 744 0001000000000010000000001111111111000000000000000000000000000001 +0 745 0001000000000010000000001111111111000000000000000000000000000001 +0 746 0001000000000010000000001111111111000000000000000000000000000001 +0 747 0001000000000010000000001111111111000000000000000000000000000001 +0 748 0001000000000010000000001111111111000000000000000000000000000001 +0 749 0001000000000010000000001111111111000000000000000000000000000001 +0 750 0001000000000010000000001111111111000000000000000000000000000001 +0 751 0001000000000010000000001111111111000000000000000000000000000001 +0 752 0001000000000010000000001111111111000000000000000000000000000001 +0 753 0001000000000010000000001111111111000000000000000000000000000001 +0 754 0001000000000010000000001111111111000000000000000000000000000001 +0 755 0001000000000010000000001111111111000000000000000000000000000001 +0 756 0001000000000010000000001111111111000000000000000000000000000001 +0 757 0001000000000010000000001111111111000000000000000000000000000001 +0 758 0001000000000010000000001111111111000000000000000000000000000001 +0 759 0001000000000010000000001111111111000000000000000000000000000001 +0 760 0001000000000010000000001111111111000000000000000000000000000001 +0 761 0001000000000010000000001111111111000000000000000000000000000001 +0 762 0001000000000010000000001111111111000000000000000000000000000001 +0 763 0001000000000010000000001111111111000000000000000000000000000001 +0 764 0001000000000010000000001111111111000000000000000000000000000001 +0 765 0001000000000010000000001111111111000000000000000000000000000001 +0 766 0001000000000010000000001111111111000000000000000000000000000001 +0 767 0001000000000010000000001111111111000000000000000000000000000001 +0 768 0001000000000001100110101111111111000000000000000000000000000001 +0 769 0001000000000001100110101111111111000000000000000000000000000001 +0 770 0001000000000001100110101111111111000000000000000000000000000001 +0 771 0001000000000001100110101111111111000000000000000000000000000001 +0 772 0001000000000001100110101111111111000000000000000000000000000001 +0 773 0001000000000001100110101111111111000000000000000000000000000001 +0 774 0001000000000001100110101111111111000000000000000000000000000001 +0 775 0001000000000001100110101111111111000000000000000000000000000001 +0 776 0001000000000001100110101111111111000000000000000000000000000001 +0 777 0001000000000001100110101111111111000000000000000000000000000001 +0 780 0001000000000001100110101111111111000000000000000000000000000001 +0 781 0001000000000001100110101111111111000000000000000000000000000001 +0 782 0001000000000001100110101111111111000000000000000000000000000001 +0 783 0001000000000001100110101111111111000000000000000000000000000001 +0 784 0001000000000010000000001111111111000000000000000000000000000001 +0 785 0001000000000010000000001111111111000000000000000000000000000001 +0 786 0001000000000010000000001111111111000000000000000000000000000001 +0 787 0001000000000010000000001111111111000000000000000000000000000001 +0 788 0001000000000010000000001111111111000000000000000000000000000001 +0 789 0001000000000010000000001111111111000000000000000000000000000001 +0 790 0001000000000010000000001111111111000000000000000000000000000001 +0 791 0001000000000010000000001111111111000000000000000000000000000001 +0 792 0001000000000010000000001111111111000000000000000000000000000001 +0 793 0001000000000010000000001111111111000000000000000000000000000001 +0 794 0001000000000010000000001111111111000000000000000000000000000001 +0 795 0001000000000010000000001111111111000000000000000000000000000001 +0 796 0001000000000010000000001111111111000000000000000000000000000001 +0 797 0001000000000010000000001111111111000000000000000000000000000001 +0 798 0001000000000010000000001111111111000000000000000000000000000001 +0 799 0001000000000010000000001111111111000000000000000000000000000001 +0 800 0001000000000001100110101111111111000000000000000000000000000001 +0 801 0001000000000001100110101111111111000000000000000000000000000001 +0 802 0001000000000001100110101111111111000000000000000000000000000001 +0 803 0001000000000001100110101111111111000000000000000000000000000001 +0 804 0001000000000001100110101111111111000000000000000000000000000001 +0 805 0001000000000001100110101111111111000000000000000000000000000001 +0 806 0001000000000001100110101111111111000000000000000000000000000001 +0 807 0001000000000001100110101111111111000000000000000000000000000001 +0 808 0001000000000001100110101111111111000000000000000000000000000001 +0 809 0001000000000001100110101111111111000000000000000000000000000001 +0 812 0001000000000001100110101111111111000000000000000000000000000001 +0 813 0001000000000001100110101111111111000000000000000000000000000001 +0 814 0001000000000001100110101111111111000000000000000000000000000001 +0 815 0001000000000001100110101111111111000000000000000000000000000001 +0 816 0001000000000010000000001111111111000000000000000000000000000001 +0 817 0001000000000010000000001111111111000000000000000000000000000001 +0 818 0001000000000010000000001111111111000000000000000000000000000001 +0 819 0001000000000010000000001111111111000000000000000000000000000001 +0 820 0001000000000010000000001111111111000000000000000000000000000001 +0 821 0001000000000010000000001111111111000000000000000000000000000001 +0 822 0001000000000010000000001111111111000000000000000000000000000001 +0 823 0001000000000010000000001111111111000000000000000000000000000001 +0 824 0001000000000010000000001111111111000000000000000000000000000001 +0 825 0001000000000010000000001111111111000000000000000000000000000001 +0 826 0001000000000010000000001111111111000000000000000000000000000001 +0 827 0001000000000010000000001111111111000000000000000000000000000001 +0 828 0001000000000010000000001111111111000000000000000000000000000001 +0 829 0001000000000010000000001111111111000000000000000000000000000001 +0 830 0001000000000010000000001111111111000000000000000000000000000001 +0 831 0001000000000010000000001111111111000000000000000000000000000001 +0 832 0001000000000001100110101111111111000000000000000000000000000001 +0 833 0001000000000001100110101111111111000000000000000000000000000001 +0 834 0001000000000001100110101111111111000000000000000000000000000001 +0 835 0001000000000001100110101111111111000000000000000000000000000001 +0 836 0001000000000001100110101111111111000000000000000000000000000001 +0 837 0001000000000001100110101111111111000000000000000000000000000001 +0 838 0001000000000001100110101111111111000000000000000000000000000001 +0 839 0001000000000001100110101111111111000000000000000000000000000001 +0 840 0001000000000001100110101111111111000000000000000000000000000001 +0 841 0001000000000001100110101111111111000000000000000000000000000001 +0 844 0001000000000001100110101111111111000000000000000000000000000001 +0 845 0001000000000001100110101111111111000000000000000000000000000001 +0 846 0001000000000001100110101111111111000000000000000000000000000001 +0 847 0001000000000001100110101111111111000000000000000000000000000001 +0 848 0001000000000010000000001111111111000000000000000000000000000001 +0 849 0001000000000010000000001111111111000000000000000000000000000001 +0 850 0001000000000010000000001111111111000000000000000000000000000001 +0 851 0001000000000010000000001111111111000000000000000000000000000001 +0 852 0001000000000010000000001111111111000000000000000000000000000001 +0 853 0001000000000010000000001111111111000000000000000000000000000001 +0 854 0001000000000010000000001111111111000000000000000000000000000001 +0 855 0001000000000010000000001111111111000000000000000000000000000001 +0 856 0001000000000010000000001111111111000000000000000000000000000001 +0 857 0001000000000010000000001111111111000000000000000000000000000001 +0 858 0001000000000010000000001111111111000000000000000000000000000001 +0 859 0001000000000010000000001111111111000000000000000000000000000001 +0 860 0001000000000010000000001111111111000000000000000000000000000001 +0 861 0001000000000010000000001111111111000000000000000000000000000001 +0 862 0001000000000010000000001111111111000000000000000000000000000001 +0 863 0001000000000010000000001111111111000000000000000000000000000001 +0 864 0001000000000001100110101111111111000000000000000000000000000001 +0 865 0001000000000001100110101111111111000000000000000000000000000001 +0 866 0001000000000001100110101111111111000000000000000000000000000001 +0 867 0001000000000001100110101111111111000000000000000000000000000001 +0 868 0001000000000001100110101111111111000000000000000000000000000001 +0 869 0001000000000001100110101111111111000000000000000000000000000001 +0 870 0001000000000001100110101111111111000000000000000000000000000001 +0 871 0001000000000001100110101111111111000000000000000000000000000001 +0 872 0001000000000001100110101111111111000000000000000000000000000001 +0 873 0001000000000001100110101111111111000000000000000000000000000001 +0 876 0001000000000001100110101111111111000000000000000000000000000001 +0 877 0001000000000001100110101111111111000000000000000000000000000001 +0 878 0001000000000001100110101111111111000000000000000000000000000001 +0 879 0001000000000001100110101111111111000000000000000000000000000001 +0 880 0001000000000010000000001111111111000000000000000000000000000001 +0 881 0001000000000010000000001111111111000000000000000000000000000001 +0 882 0001000000000010000000001111111111000000000000000000000000000001 +0 883 0001000000000010000000001111111111000000000000000000000000000001 +0 884 0001000000000010000000001111111111000000000000000000000000000001 +0 885 0001000000000010000000001111111111000000000000000000000000000001 +0 886 0001000000000010000000001111111111000000000000000000000000000001 +0 887 0001000000000010000000001111111111000000000000000000000000000001 +0 888 0001000000000010000000001111111111000000000000000000000000000001 +0 889 0001000000000010000000001111111111000000000000000000000000000001 +0 890 0001000000000010000000001111111111000000000000000000000000000001 +0 891 0001000000000010000000001111111111000000000000000000000000000001 +0 892 0001000000000010000000001111111111000000000000000000000000000001 +0 893 0001000000000010000000001111111111000000000000000000000000000001 +0 894 0001000000000010000000001111111111000000000000000000000000000001 +0 895 0001000000000010000000001111111111000000000000000000000000000001 +0 896 0001000000000010000000001111111111000000000000000000000000000001 +0 897 0001000000000010000000001111111111000000000000000000000000000001 +0 898 0001000000000010000000001111111111000000000000000000000000000001 +0 899 0001000000000010000000001111111111000000000000000000000000000001 +0 900 0001000000000010000000001111111111000000000000000000000000000001 +0 901 0001000000000010000000001111111111000000000000000000000000000001 +0 902 0001000000000010000000001111111111000000000000000000000000000001 +0 903 0001000000000010000000001111111111000000000000000000000000000001 +0 904 0001000000000010000000001111111111000000000000000000000000000001 +0 905 0001000000000010000000001111111111000000000000000000000000000001 +0 906 0001000000000010000000001111111111000000000000000000000000000001 +0 907 0001000000000010000000001111111111000000000000000000000000000001 +0 908 0001000000000010000000001111111111000000000000000000000000000001 +0 909 0001000000000010000000001111111111000000000000000000000000000001 +0 910 0001000000000010000000001111111111000000000000000000000000000001 +0 911 0001000000000010000000001111111111000000000000000000000000000001 +0 912 0001000000000010000000001111111111000000000000000000000000000001 +0 913 0001000000000010000000001111111111000000000000000000000000000001 +0 914 0001000000000010000000001111111111000000000000000000000000000001 +0 915 0001000000000010000000001111111111000000000000000000000000000001 +0 916 0001000000000010000000001111111111000000000000000000000000000001 +0 917 0001000000000010000000001111111111000000000000000000000000000001 +0 918 0001000000000010000000001111111111000000000000000000000000000001 +0 919 0001000000000010000000001111111111000000000000000000000000000001 +0 920 0001000000000010000000001111111111000000000000000000000000000001 +0 921 0001000000000010000000001111111111000000000000000000000000000001 +0 922 0001000000000010000000001111111111000000000000000000000000000001 +0 923 0001000000000010000000001111111111000000000000000000000000000001 +0 924 0001000000000010000000001111111111000000000000000000000000000001 +0 925 0001000000000010000000001111111111000000000000000000000000000001 +0 926 0001000000000010000000001111111111000000000000000000000000000001 +0 927 0001000000000010000000001111111111000000000000000000000000000001 +0 928 0001000000000010000000001111111111000000000000000000000000000001 +0 929 0001000000000010000000001111111111000000000000000000000000000001 +0 930 0001000000000010000000001111111111000000000000000000000000000001 +0 931 0001000000000010000000001111111111000000000000000000000000000001 +0 932 0001000000000010000000001111111111000000000000000000000000000001 +0 933 0001000000000010000000001111111111000000000000000000000000000001 +0 934 0001000000000010000000001111111111000000000000000000000000000001 +0 935 0001000000000010000000001111111111000000000000000000000000000001 +0 936 0001000000000010000000001111111111000000000000000000000000000001 +0 937 0001000000000010000000001111111111000000000000000000000000000001 +0 938 0001000000000010000000001111111111000000000000000000000000000001 +0 939 0001000000000010000000001111111111000000000000000000000000000001 +0 940 0001000000000010000000001111111111000000000000000000000000000001 +0 941 0001000000000010000000001111111111000000000000000000000000000001 +0 942 0001000000000010000000001111111111000000000000000000000000000001 +0 943 0001000000000010000000001111111111000000000000000000000000000001 +0 944 0001000000000010000000001111111111000000000000000000000000000001 +0 945 0001000000000010000000001111111111000000000000000000000000000001 +0 946 0001000000000010000000001111111111000000000000000000000000000001 +0 947 0001000000000010000000001111111111000000000000000000000000000001 +0 948 0001000000000010000000001111111111000000000000000000000000000001 +0 949 0001000000000010000000001111111111000000000000000000000000000001 +0 950 0001000000000010000000001111111111000000000000000000000000000001 +0 951 0001000000000010000000001111111111000000000000000000000000000001 +0 952 0001000000000010000000001111111111000000000000000000000000000001 +0 953 0001000000000010000000001111111111000000000000000000000000000001 +0 954 0001000000000010000000001111111111000000000000000000000000000001 +0 955 0001000000000010000000001111111111000000000000000000000000000001 +0 956 0001000000000010000000001111111111000000000000000000000000000001 +0 957 0001000000000010000000001111111111000000000000000000000000000001 +0 958 0001000000000010000000001111111111000000000000000000000000000001 +0 959 0001000000000010000000001111111111000000000000000000000000000001 +0 960 0001000000000010000000001111111111000000000000000000000000000001 +0 961 0001000000000010000000001111111111000000000000000000000000000001 +0 962 0001000000000010000000001111111111000000000000000000000000000001 +0 963 0001000000000010000000001111111111000000000000000000000000000001 +0 964 0001000000000010000000001111111111000000000000000000000000000001 +0 965 0001000000000010000000001111111111000000000000000000000000000001 +0 966 0001000000000010000000001111111111000000000000000000000000000001 +0 967 0001000000000010000000001111111111000000000000000000000000000001 +0 968 0001000000000010000000001111111111000000000000000000000000000001 +0 969 0001000000000010000000001111111111000000000000000000000000000001 +0 970 0001000000000010000000001111111111000000000000000000000000000001 +0 971 0001000000000010000000001111111111000000000000000000000000000001 +0 972 0001000000000010000000001111111111000000000000000000000000000001 +0 973 0001000000000010000000001111111111000000000000000000000000000001 +0 974 0001000000000010000000001111111111000000000000000000000000000001 +0 975 0001000000000010000000001111111111000000000000000000000000000001 +0 976 0001000000000010000000001111111111000000000000000000000000000001 +0 977 0001000000000010000000001111111111000000000000000000000000000001 +0 978 0001000000000010000000001111111111000000000000000000000000000001 +0 979 0001000000000010000000001111111111000000000000000000000000000001 +0 980 0001000000000010000000001111111111000000000000000000000000000001 +0 981 0001000000000010000000001111111111000000000000000000000000000001 +0 982 0001000000000010000000001111111111000000000000000000000000000001 +0 983 0001000000000010000000001111111111000000000000000000000000000001 +0 984 0001000000000010000000001111111111000000000000000000000000000001 +0 985 0001000000000010000000001111111111000000000000000000000000000001 +0 986 0001000000000010000000001111111111000000000000000000000000000001 +0 987 0001000000000010000000001111111111000000000000000000000000000001 +0 988 0001000000000010000000001111111111000000000000000000000000000001 +0 989 0001000000000010000000001111111111000000000000000000000000000001 +0 990 0001000000000010000000001111111111000000000000000000000000000001 +0 991 0001000000000010000000001111111111000000000000000000000000000001 +0 992 0001000000000010000000001111111111000000000000000000000000000001 +0 993 0001000000000010000000001111111111000000000000000000000000000001 +0 994 0001000000000010000000001111111111000000000000000000000000000001 +0 995 0001000000000010000000001111111111000000000000000000000000000001 +0 996 0001000000000010000000001111111111000000000000000000000000000001 +0 997 0001000000000010000000001111111111000000000000000000000000000001 +0 998 0001000000000010000000001111111111000000000000000000000000000001 +0 999 0001000000000010000000001111111111000000000000000000000000000001 +0 1000 0001000000000010000000001111111111000000000000000000000000000001 +0 1001 0001000000000010000000001111111111000000000000000000000000000001 +0 1002 0001000000000010000000001111111111000000000000000000000000000001 +0 1003 0001000000000010000000001111111111000000000000000000000000000001 +0 1004 0001000000000010000000001111111111000000000000000000000000000001 +0 1005 0001000000000010000000001111111111000000000000000000000000000001 +0 1006 0001000000000010000000001111111111000000000000000000000000000001 +0 1007 0001000000000010000000001111111111000000000000000000000000000001 +0 1008 0001000000000010000000001111111111000000000000000000000000000001 +0 1009 0001000000000010000000001111111111000000000000000000000000000001 +0 1010 0001000000000010000000001111111111000000000000000000000000000001 +0 1011 0001000000000010000000001111111111000000000000000000000000000001 +0 1012 0001000000000010000000001111111111000000000000000000000000000001 +0 1013 0001000000000010000000001111111111000000000000000000000000000001 +0 1014 0001000000000010000000001111111111000000000000000000000000000001 +0 1015 0001000000000010000000001111111111000000000000000000000000000001 +0 1016 0001000000000010000000001111111111000000000000000000000000000001 +0 1017 0001000000000010000000001111111111000000000000000000000000000001 +0 1018 0001000000000010000000001111111111000000000000000000000000000001 +0 1019 0001000000000010000000001111111111000000000000000000000000000001 +0 1020 0001000000000010000000001111111111000000000000000000000000000001 +0 1021 0001000000000010000000001111111111000000000000000000000000000001 +0 1022 0001000000000010000000001111111111000000000000000000000000000001 +0 1023 0001000000000010000000001111111111000000000000000000000000000001 +0 44 0001000000000010000000001111111111000000000000000000000000000001 +0 45 0001000000000010000000001111111111000000000000000000000000000001 +0 172 0001000000000010000000001111111111000000000000000000000000000001 +0 173 0001000000000010000000001111111111000000000000000000000000000001 +0 330 0001000000000010000000001111111111000000000000000000000000000001 +0 331 0001000000000010000000001111111111000000000000000000000000000001 +0 362 0001000000000010000000001111111111000000000000000000000000000001 +0 363 0001000000000010000000001111111111000000000000000000000000000001 +0 428 0001000000000010000000001111111111000000000000000000000000000001 +0 429 0001000000000010000000001111111111000000000000000000000000000001 +0 778 0001000000000010000000001111111111000000000000000000000000000001 +0 779 0001000000000010000000001111111111000000000000000000000000000001 +0 810 0001000000000010000000001111111111000000000000000000000000000001 +0 811 0001000000000010000000001111111111000000000000000000000000000001 +0 842 0001000000000010000000001111111111000000000000000000000000000001 +0 843 0001000000000010000000001111111111000000000000000000000000000001 +0 874 0001000000000010000000001111111111000000000000000000000000000001 +0 875 0001000000000010000000001111111111000000000000000000000000000001 +0 46 0001000000000010000000001111111111000000000000000000000000000001 +0 47 0001000000000010000000001111111111000000000000000000000000000001 +0 174 0001000000000010000000001111111111000000000000000000000000000001 +0 175 0001000000000010000000001111111111000000000000000000000000000001 +0 192 0001000000000010110110111011111111000000000000000000000000000001 +0 193 0001000000000010110110111011111111000000000000000000000000000001 +0 194 0001000000000010110110111011111111000000000000000000000000000001 +0 195 0001000000000010110110111011111111000000000000000000000000000001 +0 196 0001000000000010110110111011111111000000000000000000000000000001 +0 197 0001000000000010110110111011111111000000000000000000000000000001 +0 198 0001000000000010110110111011111111000000000000000000000000000001 +0 199 0001000000000010110110111011111111000000000000000000000000000001 +0 200 0001000000000010110110111011111111000000000000000000000000000001 +0 201 0001000000000010110110111011111111000000000000000000000000000001 +0 202 0001000000000010110110111011111111000000000000000000000000000001 +0 203 0001000000000010110110111011111111000000000000000000000000000001 +0 204 0001000000000010110110111011111111000000000000000000000000000001 +0 205 0001000000000010110110111011111111000000000000000000000000000001 +0 206 0001000000000010110110111011111111000000000000000000000000000001 +0 207 0001000000000010110110111011111111000000000000000000000000000001 +0 208 0001000000000010110110111011111111000000000000000000000000000001 +0 209 0001000000000010110110111011111111000000000000000000000000000001 +0 210 0001000000000010110110111011111111000000000000000000000000000001 +0 211 0001000000000010110110111011111111000000000000000000000000000001 +0 212 0001000000000010110110111011111111000000000000000000000000000001 +0 213 0001000000000010110110111011111111000000000000000000000000000001 +0 214 0001000000000010110110111011111111000000000000000000000000000001 +0 215 0001000000000010110110111011111111000000000000000000000000000001 +0 216 0001000000000010110110111011111111000000000000000000000000000001 +0 217 0001000000000010110110111011111111000000000000000000000000000001 +0 218 0001000000000010110110111011111111000000000000000000000000000001 +0 219 0001000000000010110110111011111111000000000000000000000000000001 +0 220 0001000000000010110110111011111111000000000000000000000000000001 +0 221 0001000000000010110110111011111111000000000000000000000000000001 +0 222 0001000000000010110110111011111111000000000000000000000000000001 +0 223 0001000000000010110110111011111111000000000000000000000000000001 +0 224 0001000000000010110110111011111111000000000000000000000000000001 +0 225 0001000000000010110110111011111111000000000000000000000000000001 +0 226 0001000000000010110110111011111111000000000000000000000000000001 +0 227 0001000000000010110110111011111111000000000000000000000000000001 +0 228 0001000000000010110110111011111111000000000000000000000000000001 +0 229 0001000000000010110110111011111111000000000000000000000000000001 +0 230 0001000000000010110110111011111111000000000000000000000000000001 +0 231 0001000000000010110110111011111111000000000000000000000000000001 +0 232 0001000000000010110110111011111111000000000000000000000000000001 +0 233 0001000000000010110110111011111111000000000000000000000000000001 +0 234 0001000000000010110110111011111111000000000000000000000000000001 +0 235 0001000000000010110110111011111111000000000000000000000000000001 +0 236 0001000000000010110110111011111111000000000000000000000000000001 +0 237 0001000000000010110110111011111111000000000000000000000000000001 +0 238 0001000000000010110110111011111111000000000000000000000000000001 +0 239 0001000000000010110110111011111111000000000000000000000000000001 +0 240 0001000000000010110110111011111111000000000000000000000000000001 +0 241 0001000000000010110110111011111111000000000000000000000000000001 +0 242 0001000000000010110110111011111111000000000000000000000000000001 +0 243 0001000000000010110110111011111111000000000000000000000000000001 +0 244 0001000000000010110110111011111111000000000000000000000000000001 +0 245 0001000000000010110110111011111111000000000000000000000000000001 +0 246 0001000000000010110110111011111111000000000000000000000000000001 +0 247 0001000000000010110110111011111111000000000000000000000000000001 +0 248 0001000000000010110110111011111111000000000000000000000000000001 +0 249 0001000000000010110110111011111111000000000000000000000000000001 +0 250 0001000000000010110110111011111111000000000000000000000000000001 +0 251 0001000000000010110110111011111111000000000000000000000000000001 +0 252 0001000000000010110110111011111111000000000000000000000000000001 +0 253 0001000000000010110110111011111111000000000000000000000000000001 +0 254 0001000000000010110110111011111111000000000000000000000000000001 +0 255 0001000000000010110110111011111111000000000000000000000000000001 +0 328 0001000000000010000000001111111111000000000000000000000000000001 +0 329 0001000000000010000000001111111111000000000000000000000000000001 +0 360 0001000000000010000000001111111111000000000000000000000000000001 +0 361 0001000000000010000000001111111111000000000000000000000000000001 +0 430 0001000000000010000000001111111111000000000000000000000000000001 +0 431 0001000000000010000000001111111111000000000000000000000000000001 +0 448 0001000000000010110110111011111111000000000000000000000000000001 +0 449 0001000000000010110110111011111111000000000000000000000000000001 +0 450 0001000000000010110110111011111111000000000000000000000000000001 +0 451 0001000000000010110110111011111111000000000000000000000000000001 +0 452 0001000000000010110110111011111111000000000000000000000000000001 +0 453 0001000000000010110110111011111111000000000000000000000000000001 +0 454 0001000000000010110110111011111111000000000000000000000000000001 +0 455 0001000000000010110110111011111111000000000000000000000000000001 +0 456 0001000000000010110110111011111111000000000000000000000000000001 +0 457 0001000000000010110110111011111111000000000000000000000000000001 +0 458 0001000000000010110110111011111111000000000000000000000000000001 +0 459 0001000000000010110110111011111111000000000000000000000000000001 +0 460 0001000000000010110110111011111111000000000000000000000000000001 +0 461 0001000000000010110110111011111111000000000000000000000000000001 +0 462 0001000000000010110110111011111111000000000000000000000000000001 +0 463 0001000000000010110110111011111111000000000000000000000000000001 +0 464 0001000000000010110110111011111111000000000000000000000000000001 +0 465 0001000000000010110110111011111111000000000000000000000000000001 +0 466 0001000000000010110110111011111111000000000000000000000000000001 +0 467 0001000000000010110110111011111111000000000000000000000000000001 +0 468 0001000000000010110110111011111111000000000000000000000000000001 +0 469 0001000000000010110110111011111111000000000000000000000000000001 +0 470 0001000000000010110110111011111111000000000000000000000000000001 +0 471 0001000000000010110110111011111111000000000000000000000000000001 +0 472 0001000000000010110110111011111111000000000000000000000000000001 +0 473 0001000000000010110110111011111111000000000000000000000000000001 +0 474 0001000000000010110110111011111111000000000000000000000000000001 +0 475 0001000000000010110110111011111111000000000000000000000000000001 +0 476 0001000000000010110110111011111111000000000000000000000000000001 +0 477 0001000000000010110110111011111111000000000000000000000000000001 +0 478 0001000000000010110110111011111111000000000000000000000000000001 +0 479 0001000000000010110110111011111111000000000000000000000000000001 +0 480 0001000000000010110110111011111111000000000000000000000000000001 +0 481 0001000000000010110110111011111111000000000000000000000000000001 +0 482 0001000000000010110110111011111111000000000000000000000000000001 +0 483 0001000000000010110110111011111111000000000000000000000000000001 +0 484 0001000000000010110110111011111111000000000000000000000000000001 +0 485 0001000000000010110110111011111111000000000000000000000000000001 +0 486 0001000000000010110110111011111111000000000000000000000000000001 +0 487 0001000000000010110110111011111111000000000000000000000000000001 +0 488 0001000000000010110110111011111111000000000000000000000000000001 +0 489 0001000000000010110110111011111111000000000000000000000000000001 +0 490 0001000000000010110110111011111111000000000000000000000000000001 +0 491 0001000000000010110110111011111111000000000000000000000000000001 +0 492 0001000000000010110110111011111111000000000000000000000000000001 +0 493 0001000000000010110110111011111111000000000000000000000000000001 +0 494 0001000000000010110110111011111111000000000000000000000000000001 +0 495 0001000000000010110110111011111111000000000000000000000000000001 +0 496 0001000000000010110110111011111111000000000000000000000000000001 +0 497 0001000000000010110110111011111111000000000000000000000000000001 +0 498 0001000000000010110110111011111111000000000000000000000000000001 +0 499 0001000000000010110110111011111111000000000000000000000000000001 +0 500 0001000000000010110110111011111111000000000000000000000000000001 +0 501 0001000000000010110110111011111111000000000000000000000000000001 +0 502 0001000000000010110110111011111111000000000000000000000000000001 +0 503 0001000000000010110110111011111111000000000000000000000000000001 +0 504 0001000000000010110110111011111111000000000000000000000000000001 +0 505 0001000000000010110110111011111111000000000000000000000000000001 +0 506 0001000000000010110110111011111111000000000000000000000000000001 +0 507 0001000000000010110110111011111111000000000000000000000000000001 +0 508 0001000000000010110110111011111111000000000000000000000000000001 +0 509 0001000000000010110110111011111111000000000000000000000000000001 +0 510 0001000000000010110110111011111111000000000000000000000000000001 +0 511 0001000000000010110110111011111111000000000000000000000000000001 +0 640 0001000000000010110110111011111111000000000000000000000000000001 +0 641 0001000000000010110110111011111111000000000000000000000000000001 +0 642 0001000000000010110110111011111111000000000000000000000000000001 +0 643 0001000000000010110110111011111111000000000000000000000000000001 +0 644 0001000000000010110110111011111111000000000000000000000000000001 +0 645 0001000000000010110110111011111111000000000000000000000000000001 +0 646 0001000000000010110110111011111111000000000000000000000000000001 +0 647 0001000000000010110110111011111111000000000000000000000000000001 +0 648 0001000000000010110110111011111111000000000000000000000000000001 +0 649 0001000000000010110110111011111111000000000000000000000000000001 +0 650 0001000000000010110110111011111111000000000000000000000000000001 +0 651 0001000000000010110110111011111111000000000000000000000000000001 +0 652 0001000000000010110110111011111111000000000000000000000000000001 +0 653 0001000000000010110110111011111111000000000000000000000000000001 +0 654 0001000000000010110110111011111111000000000000000000000000000001 +0 655 0001000000000010110110111011111111000000000000000000000000000001 +0 656 0001000000000010110110111011111111000000000000000000000000000001 +0 657 0001000000000010110110111011111111000000000000000000000000000001 +0 658 0001000000000010110110111011111111000000000000000000000000000001 +0 659 0001000000000010110110111011111111000000000000000000000000000001 +0 660 0001000000000010110110111011111111000000000000000000000000000001 +0 661 0001000000000010110110111011111111000000000000000000000000000001 +0 662 0001000000000010110110111011111111000000000000000000000000000001 +0 663 0001000000000010110110111011111111000000000000000000000000000001 +0 664 0001000000000010110110111011111111000000000000000000000000000001 +0 665 0001000000000010110110111011111111000000000000000000000000000001 +0 666 0001000000000010110110111011111111000000000000000000000000000001 +0 667 0001000000000010110110111011111111000000000000000000000000000001 +0 668 0001000000000010110110111011111111000000000000000000000000000001 +0 669 0001000000000010110110111011111111000000000000000000000000000001 +0 670 0001000000000010110110111011111111000000000000000000000000000001 +0 671 0001000000000010110110111011111111000000000000000000000000000001 +0 672 0001000000000010110110111011111111000000000000000000000000000001 +0 673 0001000000000010110110111011111111000000000000000000000000000001 +0 674 0001000000000010110110111011111111000000000000000000000000000001 +0 675 0001000000000010110110111011111111000000000000000000000000000001 +0 676 0001000000000010110110111011111111000000000000000000000000000001 +0 677 0001000000000010110110111011111111000000000000000000000000000001 +0 678 0001000000000010110110111011111111000000000000000000000000000001 +0 679 0001000000000010110110111011111111000000000000000000000000000001 +0 680 0001000000000010110110111011111111000000000000000000000000000001 +0 681 0001000000000010110110111011111111000000000000000000000000000001 +0 682 0001000000000010110110111011111111000000000000000000000000000001 +0 683 0001000000000010110110111011111111000000000000000000000000000001 +0 684 0001000000000010110110111011111111000000000000000000000000000001 +0 685 0001000000000010110110111011111111000000000000000000000000000001 +0 686 0001000000000010110110111011111111000000000000000000000000000001 +0 687 0001000000000010110110111011111111000000000000000000000000000001 +0 688 0001000000000010110110111011111111000000000000000000000000000001 +0 689 0001000000000010110110111011111111000000000000000000000000000001 +0 690 0001000000000010110110111011111111000000000000000000000000000001 +0 691 0001000000000010110110111011111111000000000000000000000000000001 +0 692 0001000000000010110110111011111111000000000000000000000000000001 +0 693 0001000000000010110110111011111111000000000000000000000000000001 +0 694 0001000000000010110110111011111111000000000000000000000000000001 +0 695 0001000000000010110110111011111111000000000000000000000000000001 +0 696 0001000000000010110110111011111111000000000000000000000000000001 +0 697 0001000000000010110110111011111111000000000000000000000000000001 +0 698 0001000000000010110110111011111111000000000000000000000000000001 +0 699 0001000000000010110110111011111111000000000000000000000000000001 +0 700 0001000000000010110110111011111111000000000000000000000000000001 +0 701 0001000000000010110110111011111111000000000000000000000000000001 +0 702 0001000000000010110110111011111111000000000000000000000000000001 +0 703 0001000000000010110110111011111111000000000000000000000000000001 +0 704 0001000000000010110110111011111111000000000000000000000000000001 +0 705 0001000000000010110110111011111111000000000000000000000000000001 +0 706 0001000000000010110110111011111111000000000000000000000000000001 +0 707 0001000000000010110110111011111111000000000000000000000000000001 +0 708 0001000000000010110110111011111111000000000000000000000000000001 +0 709 0001000000000010110110111011111111000000000000000000000000000001 +0 710 0001000000000010110110111011111111000000000000000000000000000001 +0 711 0001000000000010110110111011111111000000000000000000000000000001 +0 712 0001000000000010110110111011111111000000000000000000000000000001 +0 713 0001000000000010110110111011111111000000000000000000000000000001 +0 714 0001000000000010110110111011111111000000000000000000000000000001 +0 715 0001000000000010110110111011111111000000000000000000000000000001 +0 716 0001000000000010110110111011111111000000000000000000000000000001 +0 717 0001000000000010110110111011111111000000000000000000000000000001 +0 718 0001000000000010110110111011111111000000000000000000000000000001 +0 719 0001000000000010110110111011111111000000000000000000000000000001 +0 720 0001000000000010110110111011111111000000000000000000000000000001 +0 721 0001000000000010110110111011111111000000000000000000000000000001 +0 722 0001000000000010110110111011111111000000000000000000000000000001 +0 723 0001000000000010110110111011111111000000000000000000000000000001 +0 724 0001000000000010110110111011111111000000000000000000000000000001 +0 725 0001000000000010110110111011111111000000000000000000000000000001 +0 726 0001000000000010110110111011111111000000000000000000000000000001 +0 727 0001000000000010110110111011111111000000000000000000000000000001 +0 728 0001000000000010110110111011111111000000000000000000000000000001 +0 729 0001000000000010110110111011111111000000000000000000000000000001 +0 730 0001000000000010110110111011111111000000000000000000000000000001 +0 731 0001000000000010110110111011111111000000000000000000000000000001 +0 732 0001000000000010110110111011111111000000000000000000000000000001 +0 733 0001000000000010110110111011111111000000000000000000000000000001 +0 734 0001000000000010110110111011111111000000000000000000000000000001 +0 735 0001000000000010110110111011111111000000000000000000000000000001 +0 736 0001000000000010110110111011111111000000000000000000000000000001 +0 737 0001000000000010110110111011111111000000000000000000000000000001 +0 738 0001000000000010110110111011111111000000000000000000000000000001 +0 739 0001000000000010110110111011111111000000000000000000000000000001 +0 740 0001000000000010110110111011111111000000000000000000000000000001 +0 741 0001000000000010110110111011111111000000000000000000000000000001 +0 742 0001000000000010110110111011111111000000000000000000000000000001 +0 743 0001000000000010110110111011111111000000000000000000000000000001 +0 744 0001000000000010110110111011111111000000000000000000000000000001 +0 745 0001000000000010110110111011111111000000000000000000000000000001 +0 746 0001000000000010110110111011111111000000000000000000000000000001 +0 747 0001000000000010110110111011111111000000000000000000000000000001 +0 748 0001000000000010110110111011111111000000000000000000000000000001 +0 749 0001000000000010110110111011111111000000000000000000000000000001 +0 750 0001000000000010110110111011111111000000000000000000000000000001 +0 751 0001000000000010110110111011111111000000000000000000000000000001 +0 752 0001000000000010110110111011111111000000000000000000000000000001 +0 753 0001000000000010110110111011111111000000000000000000000000000001 +0 754 0001000000000010110110111011111111000000000000000000000000000001 +0 755 0001000000000010110110111011111111000000000000000000000000000001 +0 756 0001000000000010110110111011111111000000000000000000000000000001 +0 757 0001000000000010110110111011111111000000000000000000000000000001 +0 758 0001000000000010110110111011111111000000000000000000000000000001 +0 759 0001000000000010110110111011111111000000000000000000000000000001 +0 760 0001000000000010110110111011111111000000000000000000000000000001 +0 761 0001000000000010110110111011111111000000000000000000000000000001 +0 762 0001000000000010110110111011111111000000000000000000000000000001 +0 763 0001000000000010110110111011111111000000000000000000000000000001 +0 764 0001000000000010110110111011111111000000000000000000000000000001 +0 765 0001000000000010110110111011111111000000000000000000000000000001 +0 766 0001000000000010110110111011111111000000000000000000000000000001 +0 767 0001000000000010110110111011111111000000000000000000000000000001 +0 776 0001000000000010000000001111111111000000000000000000000000000001 +0 777 0001000000000010000000001111111111000000000000000000000000000001 +0 808 0001000000000010000000001111111111000000000000000000000000000001 +0 809 0001000000000010000000001111111111000000000000000000000000000001 +0 840 0001000000000010000000001111111111000000000000000000000000000001 +0 841 0001000000000010000000001111111111000000000000000000000000000001 +0 872 0001000000000010000000001111111111000000000000000000000000000001 +0 873 0001000000000010000000001111111111000000000000000000000000000001 +0 896 0001000000000010110110111011111111000000000000000000000000000001 +0 897 0001000000000010110110111011111111000000000000000000000000000001 +0 898 0001000000000010110110111011111111000000000000000000000000000001 +0 899 0001000000000010110110111011111111000000000000000000000000000001 +0 900 0001000000000010110110111011111111000000000000000000000000000001 +0 901 0001000000000010110110111011111111000000000000000000000000000001 +0 902 0001000000000010110110111011111111000000000000000000000000000001 +0 903 0001000000000010110110111011111111000000000000000000000000000001 +0 904 0001000000000010110110111011111111000000000000000000000000000001 +0 905 0001000000000010110110111011111111000000000000000000000000000001 +0 906 0001000000000010110110111011111111000000000000000000000000000001 +0 907 0001000000000010110110111011111111000000000000000000000000000001 +0 908 0001000000000010110110111011111111000000000000000000000000000001 +0 909 0001000000000010110110111011111111000000000000000000000000000001 +0 910 0001000000000010110110111011111111000000000000000000000000000001 +0 911 0001000000000010110110111011111111000000000000000000000000000001 +0 912 0001000000000010110110111011111111000000000000000000000000000001 +0 913 0001000000000010110110111011111111000000000000000000000000000001 +0 914 0001000000000010110110111011111111000000000000000000000000000001 +0 915 0001000000000010110110111011111111000000000000000000000000000001 +0 916 0001000000000010110110111011111111000000000000000000000000000001 +0 917 0001000000000010110110111011111111000000000000000000000000000001 +0 918 0001000000000010110110111011111111000000000000000000000000000001 +0 919 0001000000000010110110111011111111000000000000000000000000000001 +0 920 0001000000000010110110111011111111000000000000000000000000000001 +0 921 0001000000000010110110111011111111000000000000000000000000000001 +0 922 0001000000000010110110111011111111000000000000000000000000000001 +0 923 0001000000000010110110111011111111000000000000000000000000000001 +0 924 0001000000000010110110111011111111000000000000000000000000000001 +0 925 0001000000000010110110111011111111000000000000000000000000000001 +0 926 0001000000000010110110111011111111000000000000000000000000000001 +0 927 0001000000000010110110111011111111000000000000000000000000000001 +0 928 0001000000000010110110111011111111000000000000000000000000000001 +0 929 0001000000000010110110111011111111000000000000000000000000000001 +0 930 0001000000000010110110111011111111000000000000000000000000000001 +0 931 0001000000000010110110111011111111000000000000000000000000000001 +0 932 0001000000000010110110111011111111000000000000000000000000000001 +0 933 0001000000000010110110111011111111000000000000000000000000000001 +0 934 0001000000000010110110111011111111000000000000000000000000000001 +0 935 0001000000000010110110111011111111000000000000000000000000000001 +0 936 0001000000000010110110111011111111000000000000000000000000000001 +0 937 0001000000000010110110111011111111000000000000000000000000000001 +0 938 0001000000000010110110111011111111000000000000000000000000000001 +0 939 0001000000000010110110111011111111000000000000000000000000000001 +0 940 0001000000000010110110111011111111000000000000000000000000000001 +0 941 0001000000000010110110111011111111000000000000000000000000000001 +0 942 0001000000000010110110111011111111000000000000000000000000000001 +0 943 0001000000000010110110111011111111000000000000000000000000000001 +0 944 0001000000000010110110111011111111000000000000000000000000000001 +0 945 0001000000000010110110111011111111000000000000000000000000000001 +0 946 0001000000000010110110111011111111000000000000000000000000000001 +0 947 0001000000000010110110111011111111000000000000000000000000000001 +0 948 0001000000000010110110111011111111000000000000000000000000000001 +0 949 0001000000000010110110111011111111000000000000000000000000000001 +0 950 0001000000000010110110111011111111000000000000000000000000000001 +0 951 0001000000000010110110111011111111000000000000000000000000000001 +0 952 0001000000000010110110111011111111000000000000000000000000000001 +0 953 0001000000000010110110111011111111000000000000000000000000000001 +0 954 0001000000000010110110111011111111000000000000000000000000000001 +0 955 0001000000000010110110111011111111000000000000000000000000000001 +0 956 0001000000000010110110111011111111000000000000000000000000000001 +0 957 0001000000000010110110111011111111000000000000000000000000000001 +0 958 0001000000000010110110111011111111000000000000000000000000000001 +0 959 0001000000000010110110111011111111000000000000000000000000000001 +0 960 0001000000000010110110111011111111000000000000000000000000000001 +0 961 0001000000000010110110111011111111000000000000000000000000000001 +0 962 0001000000000010110110111011111111000000000000000000000000000001 +0 963 0001000000000010110110111011111111000000000000000000000000000001 +0 964 0001000000000010110110111011111111000000000000000000000000000001 +0 965 0001000000000010110110111011111111000000000000000000000000000001 +0 966 0001000000000010110110111011111111000000000000000000000000000001 +0 967 0001000000000010110110111011111111000000000000000000000000000001 +0 968 0001000000000010110110111011111111000000000000000000000000000001 +0 969 0001000000000010110110111011111111000000000000000000000000000001 +0 970 0001000000000010110110111011111111000000000000000000000000000001 +0 971 0001000000000010110110111011111111000000000000000000000000000001 +0 972 0001000000000010110110111011111111000000000000000000000000000001 +0 973 0001000000000010110110111011111111000000000000000000000000000001 +0 974 0001000000000010110110111011111111000000000000000000000000000001 +0 975 0001000000000010110110111011111111000000000000000000000000000001 +0 976 0001000000000010110110111011111111000000000000000000000000000001 +0 977 0001000000000010110110111011111111000000000000000000000000000001 +0 978 0001000000000010110110111011111111000000000000000000000000000001 +0 979 0001000000000010110110111011111111000000000000000000000000000001 +0 980 0001000000000010110110111011111111000000000000000000000000000001 +0 981 0001000000000010110110111011111111000000000000000000000000000001 +0 982 0001000000000010110110111011111111000000000000000000000000000001 +0 983 0001000000000010110110111011111111000000000000000000000000000001 +0 984 0001000000000010110110111011111111000000000000000000000000000001 +0 985 0001000000000010110110111011111111000000000000000000000000000001 +0 986 0001000000000010110110111011111111000000000000000000000000000001 +0 987 0001000000000010110110111011111111000000000000000000000000000001 +0 988 0001000000000010110110111011111111000000000000000000000000000001 +0 989 0001000000000010110110111011111111000000000000000000000000000001 +0 990 0001000000000010110110111011111111000000000000000000000000000001 +0 991 0001000000000010110110111011111111000000000000000000000000000001 +0 992 0001000000000010110110111011111111000000000000000000000000000001 +0 993 0001000000000010110110111011111111000000000000000000000000000001 +0 994 0001000000000010110110111011111111000000000000000000000000000001 +0 995 0001000000000010110110111011111111000000000000000000000000000001 +0 996 0001000000000010110110111011111111000000000000000000000000000001 +0 997 0001000000000010110110111011111111000000000000000000000000000001 +0 998 0001000000000010110110111011111111000000000000000000000000000001 +0 999 0001000000000010110110111011111111000000000000000000000000000001 +0 1000 0001000000000010110110111011111111000000000000000000000000000001 +0 1001 0001000000000010110110111011111111000000000000000000000000000001 +0 1002 0001000000000010110110111011111111000000000000000000000000000001 +0 1003 0001000000000010110110111011111111000000000000000000000000000001 +0 1004 0001000000000010110110111011111111000000000000000000000000000001 +0 1005 0001000000000010110110111011111111000000000000000000000000000001 +0 1006 0001000000000010110110111011111111000000000000000000000000000001 +0 1007 0001000000000010110110111011111111000000000000000000000000000001 +0 1008 0001000000000010110110111011111111000000000000000000000000000001 +0 1009 0001000000000010110110111011111111000000000000000000000000000001 +0 1010 0001000000000010110110111011111111000000000000000000000000000001 +0 1011 0001000000000010110110111011111111000000000000000000000000000001 +0 1012 0001000000000010110110111011111111000000000000000000000000000001 +0 1013 0001000000000010110110111011111111000000000000000000000000000001 +0 1014 0001000000000010110110111011111111000000000000000000000000000001 +0 1015 0001000000000010110110111011111111000000000000000000000000000001 +0 1016 0001000000000010110110111011111111000000000000000000000000000001 +0 1017 0001000000000010110110111011111111000000000000000000000000000001 +0 1018 0001000000000010110110111011111111000000000000000000000000000001 +0 1019 0001000000000010110110111011111111000000000000000000000000000001 +0 1020 0001000000000010110110111011111111000000000000000000000000000001 +0 1021 0001000000000010110110111011111111000000000000000000000000000001 +0 1022 0001000000000010110110111011111111000000000000000000000000000001 +0 1023 0001000000000010110110111011111111000000000000000000000000000001 +0 128 0001000000000010110110111011111111000000000000000000000000000001 +0 129 0001000000000010110110111011111111000000000000000000000000000001 +0 130 0001000000000010110110111011111111000000000000000000000000000001 +0 131 0001000000000010110110111011111111000000000000000000000000000001 +0 132 0001000000000010110110111011111111000000000000000000000000000001 +0 133 0001000000000010110110111011111111000000000000000000000000000001 +0 134 0001000000000010110110111011111111000000000000000000000000000001 +0 135 0001000000000010110110111011111111000000000000000000000000000001 +0 136 0001000000000010110110111011111111000000000000000000000000000001 +0 137 0001000000000010110110111011111111000000000000000000000000000001 +0 138 0001000000000010110110111011111111000000000000000000000000000001 +0 139 0001000000000010110110111011111111000000000000000000000000000001 +0 140 0001000000000010110110111011111111000000000000000000000000000001 +0 141 0001000000000010110110111011111111000000000000000000000000000001 +0 142 0001000000000010110110111011111111000000000000000000000000000001 +0 143 0001000000000010110110111011111111000000000000000000000000000001 +0 144 0001000000000010110110111011111111000000000000000000000000000001 +0 145 0001000000000010110110111011111111000000000000000000000000000001 +0 146 0001000000000010110110111011111111000000000000000000000000000001 +0 147 0001000000000010110110111011111111000000000000000000000000000001 +0 148 0001000000000010110110111011111111000000000000000000000000000001 +0 149 0001000000000010110110111011111111000000000000000000000000000001 +0 150 0001000000000010110110111011111111000000000000000000000000000001 +0 151 0001000000000010110110111011111111000000000000000000000000000001 +0 152 0001000000000010110110111011111111000000000000000000000000000001 +0 153 0001000000000010110110111011111111000000000000000000000000000001 +0 154 0001000000000010110110111011111111000000000000000000000000000001 +0 155 0001000000000010110110111011111111000000000000000000000000000001 +0 156 0001000000000010110110111011111111000000000000000000000000000001 +0 157 0001000000000010110110111011111111000000000000000000000000000001 +0 158 0001000000000010110110111011111111000000000000000000000000000001 +0 159 0001000000000010110110111011111111000000000000000000000000000001 +0 160 0001000000000010110110111011111111000000000000000000000000000001 +0 161 0001000000000010110110111011111111000000000000000000000000000001 +0 162 0001000000000010110110111011111111000000000000000000000000000001 +0 163 0001000000000010110110111011111111000000000000000000000000000001 +0 164 0001000000000010110110111011111111000000000000000000000000000001 +0 165 0001000000000010110110111011111111000000000000000000000000000001 +0 166 0001000000000010110110111011111111000000000000000000000000000001 +0 167 0001000000000010110110111011111111000000000000000000000000000001 +0 168 0001000000000010110110111011111111000000000000000000000000000001 +0 169 0001000000000010110110111011111111000000000000000000000000000001 +0 170 0001000000000010110110111011111111000000000000000000000000000001 +0 171 0001000000000010110110111011111111000000000000000000000000000001 +0 176 0001000000000010110110111011111111000000000000000000000000000001 +0 177 0001000000000010110110111011111111000000000000000000000000000001 +0 178 0001000000000010110110111011111111000000000000000000000000000001 +0 179 0001000000000010110110111011111111000000000000000000000000000001 +0 180 0001000000000010110110111011111111000000000000000000000000000001 +0 181 0001000000000010110110111011111111000000000000000000000000000001 +0 182 0001000000000010110110111011111111000000000000000000000000000001 +0 183 0001000000000010110110111011111111000000000000000000000000000001 +0 184 0001000000000010110110111011111111000000000000000000000000000001 +0 185 0001000000000010110110111011111111000000000000000000000000000001 +0 186 0001000000000010110110111011111111000000000000000000000000000001 +0 187 0001000000000010110110111011111111000000000000000000000000000001 +0 188 0001000000000010110110111011111111000000000000000000000000000001 +0 189 0001000000000010110110111011111111000000000000000000000000000001 +0 190 0001000000000010110110111011111111000000000000000000000000000001 +0 191 0001000000000010110110111011111111000000000000000000000000000001 +0 256 0001000000000010000000001111111111000000000000000000000000000001 +0 257 0001000000000010000000001111111111000000000000000000000000000001 +0 258 0001000000000010000000001111111111000000000000000000000000000001 +0 259 0001000000000010000000001111111111000000000000000000000000000001 +0 260 0001000000000010000000001111111111000000000000000000000000000001 +0 261 0001000000000010000000001111111111000000000000000000000000000001 +0 262 0001000000000010000000001111111111000000000000000000000000000001 +0 263 0001000000000010000000001111111111000000000000000000000000000001 +0 264 0001000000000010000000001111111111000000000000000000000000000001 +0 265 0001000000000010000000001111111111000000000000000000000000000001 +0 266 0001000000000010000000001111111111000000000000000000000000000001 +0 267 0001000000000010000000001111111111000000000000000000000000000001 +0 268 0001000000000010000000001111111111000000000000000000000000000001 +0 269 0001000000000010000000001111111111000000000000000000000000000001 +0 270 0001000000000010000000001111111111000000000000000000000000000001 +0 271 0001000000000010000000001111111111000000000000000000000000000001 +0 288 0001000000000010000000001111111111000000000000000000000000000001 +0 289 0001000000000010000000001111111111000000000000000000000000000001 +0 290 0001000000000010000000001111111111000000000000000000000000000001 +0 291 0001000000000010000000001111111111000000000000000000000000000001 +0 292 0001000000000010000000001111111111000000000000000000000000000001 +0 293 0001000000000010000000001111111111000000000000000000000000000001 +0 294 0001000000000010000000001111111111000000000000000000000000000001 +0 295 0001000000000010000000001111111111000000000000000000000000000001 +0 296 0001000000000010000000001111111111000000000000000000000000000001 +0 297 0001000000000010000000001111111111000000000000000000000000000001 +0 298 0001000000000010000000001111111111000000000000000000000000000001 +0 299 0001000000000010000000001111111111000000000000000000000000000001 +0 300 0001000000000010000000001111111111000000000000000000000000000001 +0 301 0001000000000010000000001111111111000000000000000000000000000001 +0 302 0001000000000010000000001111111111000000000000000000000000000001 +0 303 0001000000000010000000001111111111000000000000000000000000000001 +0 384 0001000000000010110110111011111111000000000000000000000000000001 +0 385 0001000000000010110110111011111111000000000000000000000000000001 +0 386 0001000000000010110110111011111111000000000000000000000000000001 +0 387 0001000000000010110110111011111111000000000000000000000000000001 +0 388 0001000000000010110110111011111111000000000000000000000000000001 +0 389 0001000000000010110110111011111111000000000000000000000000000001 +0 390 0001000000000010110110111011111111000000000000000000000000000001 +0 391 0001000000000010110110111011111111000000000000000000000000000001 +0 392 0001000000000010110110111011111111000000000000000000000000000001 +0 393 0001000000000010110110111011111111000000000000000000000000000001 +0 394 0001000000000010110110111011111111000000000000000000000000000001 +0 395 0001000000000010110110111011111111000000000000000000000000000001 +0 396 0001000000000010110110111011111111000000000000000000000000000001 +0 397 0001000000000010110110111011111111000000000000000000000000000001 +0 398 0001000000000010110110111011111111000000000000000000000000000001 +0 399 0001000000000010110110111011111111000000000000000000000000000001 +0 400 0001000000000010110110111011111111000000000000000000000000000001 +0 401 0001000000000010110110111011111111000000000000000000000000000001 +0 402 0001000000000010110110111011111111000000000000000000000000000001 +0 403 0001000000000010110110111011111111000000000000000000000000000001 +0 404 0001000000000010110110111011111111000000000000000000000000000001 +0 405 0001000000000010110110111011111111000000000000000000000000000001 +0 406 0001000000000010110110111011111111000000000000000000000000000001 +0 407 0001000000000010110110111011111111000000000000000000000000000001 +0 408 0001000000000010110110111011111111000000000000000000000000000001 +0 409 0001000000000010110110111011111111000000000000000000000000000001 +0 410 0001000000000010110110111011111111000000000000000000000000000001 +0 411 0001000000000010110110111011111111000000000000000000000000000001 +0 412 0001000000000010110110111011111111000000000000000000000000000001 +0 413 0001000000000010110110111011111111000000000000000000000000000001 +0 414 0001000000000010110110111011111111000000000000000000000000000001 +0 415 0001000000000010110110111011111111000000000000000000000000000001 +0 416 0001000000000010110110111011111111000000000000000000000000000001 +0 417 0001000000000010110110111011111111000000000000000000000000000001 +0 418 0001000000000010110110111011111111000000000000000000000000000001 +0 419 0001000000000010110110111011111111000000000000000000000000000001 +0 420 0001000000000010110110111011111111000000000000000000000000000001 +0 421 0001000000000010110110111011111111000000000000000000000000000001 +0 422 0001000000000010110110111011111111000000000000000000000000000001 +0 423 0001000000000010110110111011111111000000000000000000000000000001 +0 424 0001000000000010110110111011111111000000000000000000000000000001 +0 425 0001000000000010110110111011111111000000000000000000000000000001 +0 426 0001000000000010110110111011111111000000000000000000000000000001 +0 427 0001000000000010110110111011111111000000000000000000000000000001 +0 432 0001000000000010110110111011111111000000000000000000000000000001 +0 433 0001000000000010110110111011111111000000000000000000000000000001 +0 434 0001000000000010110110111011111111000000000000000000000000000001 +0 435 0001000000000010110110111011111111000000000000000000000000000001 +0 436 0001000000000010110110111011111111000000000000000000000000000001 +0 437 0001000000000010110110111011111111000000000000000000000000000001 +0 438 0001000000000010110110111011111111000000000000000000000000000001 +0 439 0001000000000010110110111011111111000000000000000000000000000001 +0 440 0001000000000010110110111011111111000000000000000000000000000001 +0 441 0001000000000010110110111011111111000000000000000000000000000001 +0 442 0001000000000010110110111011111111000000000000000000000000000001 +0 443 0001000000000010110110111011111111000000000000000000000000000001 +0 444 0001000000000010110110111011111111000000000000000000000000000001 +0 445 0001000000000010110110111011111111000000000000000000000000000001 +0 446 0001000000000010110110111011111111000000000000000000000000000001 +0 447 0001000000000010110110111011111111000000000000000000000000000001 +0 172 0001000000000010110110111011111111000000000000000000000000000001 +0 173 0001000000000010110110111011111111000000000000000000000000000001 +0 428 0001000000000010110110111011111111000000000000000000000000000001 +0 429 0001000000000010110110111011111111000000000000000000000000000001 +0 174 0001000000000000001000001111111111000000000000000000000000000001 +0 175 0001000000000000001000001111111111000000000000000000000000000001 +0 430 0001000000000000001000001111111111000000000000000000000000000001 +0 431 0001000000000000001000001111111111000000000000000000000000000001 diff --git a/test/input/noc_tests/random_pe_to_pe_test/test.log b/test/input/noc_tests/random_pe_to_pe_test/test.log index 62df169..964e9a4 100644 --- a/test/input/noc_tests/random_pe_to_pe_test/test.log +++ b/test/input/noc_tests/random_pe_to_pe_test/test.log @@ -1,2145 +1,3611 @@ -0 75 0001000000000011100101100000000000000000000000000000000000000001 ----> passed! -0 787 0001000000000001110010100000000000000000000000000000000000000001 ----> passed! -0 0 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 2 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 3 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 4 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 5 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 6 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 7 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 8 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 9 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 10 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 11 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 12 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 13 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 14 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 15 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 16 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 17 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 18 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 19 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 20 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 21 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 22 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 23 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 24 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 25 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 26 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 27 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 28 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 29 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 30 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 31 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 32 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 33 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 34 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 35 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 36 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 37 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 38 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 39 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 40 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 41 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 42 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 43 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 44 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 45 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 46 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 47 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 48 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 49 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 50 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 51 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 52 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 53 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 54 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 55 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 56 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 57 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 58 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 59 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 60 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 61 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 62 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 63 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 64 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 65 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 66 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 67 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 68 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 69 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 70 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 71 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 72 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 73 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 74 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 76 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 77 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 78 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 79 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 80 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 81 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 82 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 83 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 84 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 85 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 86 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 87 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 88 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 89 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 90 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 91 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 92 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 93 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 94 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 95 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 96 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 97 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 98 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 99 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 100 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 101 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 102 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 103 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 104 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 105 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 106 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 107 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 108 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 109 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 110 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 111 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 112 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 113 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 114 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 115 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 116 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 117 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 118 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 119 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 120 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 121 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 122 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 123 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 124 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 125 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 126 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 127 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 128 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 129 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 130 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 131 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 132 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 133 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 134 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 135 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 136 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 137 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 138 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 139 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 140 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 141 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 142 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 143 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 144 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 145 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 146 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 147 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 148 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 149 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 150 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 151 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 152 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 153 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 154 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 155 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 156 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 157 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 158 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 159 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 160 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 161 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 162 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 163 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 164 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 165 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 166 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 167 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 168 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 169 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 170 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 171 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 172 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 173 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 174 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 175 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 176 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 177 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 178 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 179 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 180 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 181 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 182 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 183 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 184 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 185 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 186 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 187 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 188 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 189 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 190 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 191 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 192 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 193 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 194 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 195 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 196 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 197 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 198 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 199 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 200 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 201 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 202 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 203 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 204 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 205 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 206 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 207 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 208 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 209 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 210 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 211 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 212 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 213 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 214 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 215 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 216 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 217 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 218 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 219 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 220 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 221 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 222 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 223 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 224 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 225 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 226 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 227 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 228 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 229 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 230 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 231 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 232 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 233 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 234 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 235 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 236 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 237 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 238 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 239 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 240 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 241 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 242 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 243 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 244 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 245 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 246 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 247 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 248 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 249 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 250 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 251 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 252 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 253 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 254 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 255 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 256 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 257 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 258 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 259 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 260 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 261 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 262 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 263 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 264 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 265 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 266 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 267 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 268 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 269 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 270 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 271 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 272 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 273 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 274 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 275 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 276 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 277 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 278 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 279 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 280 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 281 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 282 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 283 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 284 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 285 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 286 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 287 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 288 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 289 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 290 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 291 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 292 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 293 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 294 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 295 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 296 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 297 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 298 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 299 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 300 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 301 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 302 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 303 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 304 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 305 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 306 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 307 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 308 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 309 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 310 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 311 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 312 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 313 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 314 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 315 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 316 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 317 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 318 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 319 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 320 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 321 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 322 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 323 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 324 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 325 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 326 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 327 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 328 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 329 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 330 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 331 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 332 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 333 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 334 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 335 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 336 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 337 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 338 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 339 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 340 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 341 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 342 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 343 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 344 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 345 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 346 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 347 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 348 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 349 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 350 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 351 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 352 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 353 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 354 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 355 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 356 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 357 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 358 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 359 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 360 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 361 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 362 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 363 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 364 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 365 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 366 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 367 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 368 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 369 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 370 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 371 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 372 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 373 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 374 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 375 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 376 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 377 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 378 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 379 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 380 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 381 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 382 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 383 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 384 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 385 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 386 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 387 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 388 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 389 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 390 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 391 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 392 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 393 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 394 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 395 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 396 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 397 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 398 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 399 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 400 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 401 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 402 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 403 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 404 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 405 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 406 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 407 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 408 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 409 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 410 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 411 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 412 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 413 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 414 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 415 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 416 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 417 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 418 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 419 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 420 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 421 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 422 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 423 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 424 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 425 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 426 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 427 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 428 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 429 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 430 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 431 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 432 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 433 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 434 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 435 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 436 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 437 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 438 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 439 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 440 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 441 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 442 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 443 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 444 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 445 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 446 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 447 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 448 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 449 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 450 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 451 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 452 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 453 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 454 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 455 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 456 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 457 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 458 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 459 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 460 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 461 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 462 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 463 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 464 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 465 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 466 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 467 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 468 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 469 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 470 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 471 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 472 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 473 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 474 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 475 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 476 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 477 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 478 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 479 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 480 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 481 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 482 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 483 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 484 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 485 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 486 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 487 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 488 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 489 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 490 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 491 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 492 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 493 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 494 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 495 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 496 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 497 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 498 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 499 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 500 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 501 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 502 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 503 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 504 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 505 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 506 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 507 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 508 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 509 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 510 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 511 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 512 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 513 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 514 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 515 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 516 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 517 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 518 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 519 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 520 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 521 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 522 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 523 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 524 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 525 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 526 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 527 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 528 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 529 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 530 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 531 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 532 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 533 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 534 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 535 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 536 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 537 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 538 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 539 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 540 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 541 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 542 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 543 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 544 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 545 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 546 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 547 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 548 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 549 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 550 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 551 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 552 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 553 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 554 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 555 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 556 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 557 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 558 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 559 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 560 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 561 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 562 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 563 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 564 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 565 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 566 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 567 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 568 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 569 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 570 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 571 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 572 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 573 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 574 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 575 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 576 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 577 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 578 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 579 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 580 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 581 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 582 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 583 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 584 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 585 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 586 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 587 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 588 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 589 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 590 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 591 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 592 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 593 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 594 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 595 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 596 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 597 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 598 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 599 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 600 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 601 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 602 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 603 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 604 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 605 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 606 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 607 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 608 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 609 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 610 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 611 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 612 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 613 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 614 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 615 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 616 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 617 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 618 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 619 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 620 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 621 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 622 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 623 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 624 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 625 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 626 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 627 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 628 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 629 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 630 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 631 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 632 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 633 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 634 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 635 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 636 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 637 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 638 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 639 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 640 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 641 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 642 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 643 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 644 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 645 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 646 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 647 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 648 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 649 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 650 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 651 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 652 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 653 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 654 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 655 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 656 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 657 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 658 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 659 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 660 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 661 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 662 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 663 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 664 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 665 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 666 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 667 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 668 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 669 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 670 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 671 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 672 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 673 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 674 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 675 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 676 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 677 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 678 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 679 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 680 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 681 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 682 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 683 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 684 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 685 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 686 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 687 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 688 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 689 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 690 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 691 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 692 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 693 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 694 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 695 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 696 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 697 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 698 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 699 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 700 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 701 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 702 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 703 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 704 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 705 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 706 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 707 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 708 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 709 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 710 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 711 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 712 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 713 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 714 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 715 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 716 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 717 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 718 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 719 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 720 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 721 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 722 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 723 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 724 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 725 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 726 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 727 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 728 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 729 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 730 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 731 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 732 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 733 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 734 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 735 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 736 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 737 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 738 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 739 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 740 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 741 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 742 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 743 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 744 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 745 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 746 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 747 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 748 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 749 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 750 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 751 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 752 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 753 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 754 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 755 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 756 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 757 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 758 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 759 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 760 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 761 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 762 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 763 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 764 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 765 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 766 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 767 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 768 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 769 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 770 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 771 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 772 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 773 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 774 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 775 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 776 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 777 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 778 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 779 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 780 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 781 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 782 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 783 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 784 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 785 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 786 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 788 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 789 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 790 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 791 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 792 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 793 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 794 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 795 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 796 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 797 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 798 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 799 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 800 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 801 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 802 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 803 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 804 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 805 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 806 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 807 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 808 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 809 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 810 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 811 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 812 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 813 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 814 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 815 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 816 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 817 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 818 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 819 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 820 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 821 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 822 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 823 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 824 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 825 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 826 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 827 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 828 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 829 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 830 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 831 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 832 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 833 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 834 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 835 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 836 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 837 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 838 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 839 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 840 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 841 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 842 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 843 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 844 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 845 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 846 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 847 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 848 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 849 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 850 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 851 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 852 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 853 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 854 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 855 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 856 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 857 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 858 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 859 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 860 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 861 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 862 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 863 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 864 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 865 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 866 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 867 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 868 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 869 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 870 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 871 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 872 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 873 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 874 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 875 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 876 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 877 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 878 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 879 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 880 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 881 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 882 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 883 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 884 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 885 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 886 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 887 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 888 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 889 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 890 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 891 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 892 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 893 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 894 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 895 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 896 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 897 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 898 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 899 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 900 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 901 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 902 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 903 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 904 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 905 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 906 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 907 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 908 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 909 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 910 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 911 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 912 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 913 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 914 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 915 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 916 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 917 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 918 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 919 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 920 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 921 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 922 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 923 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 924 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 925 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 926 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 927 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 928 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 929 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 930 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 931 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 932 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 933 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 934 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 935 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 936 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 937 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 938 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 939 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 940 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 941 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 942 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 943 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 944 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 945 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 946 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 947 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 948 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 949 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 950 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 951 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 952 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 953 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 954 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 955 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 956 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 957 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 958 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 959 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 960 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 961 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 962 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 963 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 964 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 965 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 966 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 967 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 968 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 969 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 970 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 971 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 972 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 973 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 974 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 975 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 976 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 977 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 978 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 979 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 980 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 981 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 982 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 983 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 984 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 985 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 986 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 987 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 988 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 989 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 990 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 991 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 992 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 993 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 994 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 995 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 996 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 997 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 998 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 999 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1000 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1001 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1002 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1003 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1004 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1005 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1006 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1007 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1008 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1009 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1010 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1011 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1012 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1013 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1014 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1015 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1016 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1017 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1018 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1019 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1020 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1021 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1022 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1023 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -1 0 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 1 0001000000000001100110101111111111000000000000000000000000000001 ----> failed! -1 2 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 3 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 4 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 5 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 6 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 7 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 8 0001000000000010110110111011111111000000000000000000000000000001 ----> failed! -1 9 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 10 0001000000000010110110111011111111000000000000000000000000000001 ----> failed! -1 11 0001000000000001100110101111111111000000000000000000000000000001 ----> failed! -1 12 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 13 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 14 0001000000000010110110111011111111000000000000000000000000000001 ----> failed! -1 15 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 16 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 17 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 18 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 19 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 20 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 21 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 22 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 23 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 24 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 25 0001000000000010110110111011111111000000000000000000000000000001 ----> failed! -1 26 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 27 0001000000000010110110111011111111000000000000000000000000000001 ----> failed! -1 28 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 29 0001000000000010110110111011111111000000000000000000000000000001 ----> failed! -1 30 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 31 0001000000000010110110111011111111000000000000000000000000000001 ----> failed! -1 32 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 33 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 34 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 35 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 36 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 37 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 38 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 39 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 40 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 41 0001000000000010110110111011111111000000000000000000000000000001 ----> failed! -1 42 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 43 0001000000000010110110111011111111000000000000000000000000000001 ----> failed! -1 44 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 45 0001000000000010110110111011111111000000000000000000000000000001 ----> failed! -1 46 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 47 0001000000000010110110111011111111000000000000000000000000000001 ----> failed! -One or more test failed :( +0 75 0001000000000011100101100000000000000000000000000000000000000001 ---> passed! +0 787 0001000000000001110010100000000000000000000000000000000000000001 ---> passed! +0 0 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 2 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 3 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 4 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 5 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 6 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 7 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 8 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 9 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 10 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 11 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 12 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 13 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 14 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 15 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 16 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 17 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 18 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 19 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 20 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 21 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 22 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 23 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 24 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 25 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 26 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 27 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 28 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 29 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 30 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 31 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 32 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 33 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 34 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 35 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 36 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 37 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 38 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 39 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 40 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 41 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 42 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 43 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 44 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 45 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 46 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 47 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 48 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 49 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 50 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 51 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 52 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 53 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 54 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 55 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 56 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 57 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 58 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 59 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 60 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 61 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 62 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 63 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 64 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 65 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 66 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 67 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 68 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 69 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 70 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 71 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 72 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 73 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 74 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 75 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 76 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 77 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 78 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 79 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 80 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 81 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 82 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 83 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 84 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 85 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 86 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 87 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 88 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 89 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 90 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 91 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 92 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 93 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 94 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 95 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 96 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 97 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 98 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 99 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 100 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 101 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 102 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 103 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 104 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 105 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 106 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 107 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 108 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 109 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 110 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 111 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 112 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 113 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 114 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 115 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 116 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 117 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 118 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 119 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 120 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 121 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 122 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 123 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 124 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 125 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 126 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 127 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 128 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 129 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 130 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 131 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 132 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 133 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 134 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 135 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 136 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 137 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 138 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 139 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 140 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 141 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 142 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 143 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 144 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 145 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 146 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 147 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 148 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 149 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 150 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 151 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 152 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 153 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 154 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 155 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 156 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 157 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 158 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 159 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 160 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 161 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 162 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 163 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 164 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 165 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 166 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 167 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 168 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 169 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 170 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 171 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 172 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 173 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 174 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 175 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 176 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 177 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 178 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 179 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 180 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 181 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 182 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 183 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 184 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 185 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 186 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 187 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 188 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 189 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 190 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 191 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 192 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 193 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 194 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 195 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 196 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 197 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 198 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 199 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 200 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 201 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 202 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 203 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 204 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 205 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 206 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 207 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 208 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 209 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 210 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 211 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 212 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 213 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 214 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 215 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 216 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 217 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 218 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 219 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 220 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 221 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 222 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 223 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 224 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 225 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 226 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 227 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 228 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 229 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 230 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 231 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 232 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 233 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 234 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 235 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 236 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 237 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 238 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 239 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 240 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 241 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 242 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 243 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 244 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 245 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 246 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 247 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 248 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 249 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 250 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 251 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 252 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 253 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 254 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 255 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 256 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 257 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 258 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 259 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 260 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 261 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 262 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 263 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 264 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 265 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 268 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 269 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 270 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 271 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 272 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 273 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 274 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 275 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 276 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 277 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 278 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 279 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 280 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 281 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 282 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 283 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 284 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 285 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 286 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 287 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 288 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 289 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 290 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 291 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 292 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 293 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 294 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 295 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 296 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 297 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 300 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 301 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 302 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 303 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 304 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 305 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 306 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 307 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 308 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 309 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 310 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 311 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 312 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 313 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 314 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 315 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 316 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 317 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 318 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 319 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 320 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 321 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 322 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 323 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 324 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 325 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 326 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 327 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 328 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 329 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 332 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 333 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 334 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 335 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 336 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 337 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 338 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 339 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 340 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 341 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 342 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 343 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 344 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 345 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 346 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 347 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 348 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 349 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 350 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 351 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 352 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 353 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 354 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 355 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 356 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 357 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 358 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 359 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 360 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 361 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 364 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 365 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 366 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 367 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 368 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 369 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 370 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 371 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 372 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 373 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 374 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 375 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 376 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 377 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 378 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 379 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 380 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 381 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 382 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 383 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 384 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 385 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 386 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 387 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 388 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 389 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 390 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 391 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 392 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 393 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 394 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 395 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 396 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 397 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 398 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 399 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 400 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 401 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 402 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 403 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 404 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 405 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 406 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 407 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 408 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 409 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 410 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 411 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 412 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 413 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 414 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 415 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 416 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 417 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 418 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 419 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 420 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 421 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 422 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 423 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 424 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 425 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 426 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 427 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 428 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 429 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 430 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 431 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 432 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 433 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 434 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 435 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 436 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 437 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 438 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 439 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 440 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 441 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 442 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 443 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 444 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 445 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 446 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 447 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 448 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 449 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 450 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 451 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 452 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 453 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 454 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 455 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 456 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 457 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 458 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 459 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 460 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 461 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 462 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 463 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 464 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 465 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 466 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 467 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 468 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 469 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 470 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 471 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 472 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 473 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 474 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 475 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 476 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 477 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 478 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 479 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 480 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 481 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 482 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 483 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 484 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 485 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 486 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 487 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 488 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 489 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 490 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 491 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 492 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 493 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 494 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 495 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 496 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 497 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 498 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 499 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 500 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 501 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 502 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 503 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 504 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 505 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 506 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 507 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 508 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 509 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 510 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 511 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 512 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 513 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 514 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 515 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 516 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 517 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 518 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 519 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 520 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 521 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 522 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 523 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 524 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 525 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 526 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 527 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 528 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 529 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 530 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 531 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 532 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 533 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 534 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 535 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 536 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 537 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 538 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 539 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 540 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 541 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 542 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 543 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 544 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 545 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 546 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 547 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 548 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 549 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 550 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 551 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 552 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 553 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 554 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 555 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 556 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 557 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 558 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 559 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 560 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 561 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 562 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 563 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 564 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 565 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 566 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 567 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 568 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 569 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 570 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 571 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 572 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 573 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 574 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 575 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 576 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 577 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 578 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 579 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 580 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 581 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 582 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 583 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 584 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 585 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 586 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 587 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 588 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 589 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 590 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 591 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 592 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 593 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 594 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 595 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 596 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 597 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 598 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 599 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 600 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 601 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 602 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 603 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 604 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 605 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 606 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 607 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 608 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 609 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 610 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 611 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 612 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 613 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 614 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 615 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 616 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 617 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 618 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 619 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 620 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 621 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 622 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 623 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 624 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 625 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 626 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 627 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 628 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 629 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 630 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 631 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 632 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 633 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 634 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 635 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 636 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 637 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 638 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 639 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 640 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 641 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 642 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 643 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 644 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 645 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 646 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 647 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 648 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 649 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 650 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 651 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 652 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 653 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 654 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 655 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 656 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 657 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 658 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 659 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 660 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 661 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 662 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 663 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 664 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 665 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 666 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 667 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 668 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 669 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 670 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 671 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 672 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 673 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 674 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 675 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 676 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 677 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 678 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 679 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 680 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 681 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 682 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 683 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 684 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 685 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 686 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 687 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 688 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 689 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 690 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 691 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 692 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 693 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 694 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 695 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 696 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 697 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 698 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 699 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 700 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 701 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 702 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 703 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 704 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 705 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 706 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 707 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 708 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 709 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 710 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 711 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 712 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 713 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 714 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 715 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 716 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 717 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 718 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 719 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 720 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 721 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 722 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 723 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 724 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 725 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 726 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 727 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 728 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 729 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 730 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 731 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 732 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 733 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 734 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 735 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 736 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 737 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 738 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 739 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 740 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 741 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 742 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 743 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 744 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 745 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 746 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 747 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 748 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 749 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 750 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 751 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 752 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 753 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 754 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 755 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 756 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 757 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 758 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 759 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 760 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 761 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 762 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 763 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 764 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 765 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 766 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 767 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 768 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 769 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 770 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 771 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 772 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 773 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 774 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 775 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 776 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 777 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 780 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 781 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 782 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 783 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 784 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 785 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 786 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 787 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 788 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 789 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 790 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 791 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 792 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 793 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 794 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 795 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 796 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 797 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 798 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 799 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 800 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 801 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 802 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 803 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 804 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 805 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 806 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 807 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 808 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 809 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 812 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 813 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 814 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 815 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 816 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 817 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 818 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 819 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 820 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 821 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 822 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 823 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 824 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 825 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 826 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 827 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 828 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 829 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 830 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 831 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 832 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 833 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 834 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 835 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 836 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 837 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 838 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 839 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 840 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 841 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 844 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 845 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 846 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 847 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 848 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 849 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 850 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 851 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 852 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 853 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 854 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 855 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 856 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 857 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 858 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 859 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 860 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 861 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 862 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 863 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 864 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 865 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 866 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 867 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 868 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 869 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 870 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 871 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 872 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 873 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 876 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 877 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 878 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 879 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 880 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 881 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 882 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 883 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 884 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 885 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 886 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 887 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 888 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 889 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 890 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 891 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 892 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 893 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 894 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 895 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 896 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 897 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 898 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 899 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 900 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 901 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 902 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 903 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 904 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 905 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 906 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 907 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 908 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 909 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 910 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 911 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 912 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 913 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 914 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 915 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 916 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 917 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 918 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 919 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 920 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 921 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 922 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 923 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 924 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 925 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 926 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 927 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 928 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 929 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 930 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 931 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 932 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 933 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 934 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 935 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 936 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 937 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 938 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 939 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 940 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 941 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 942 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 943 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 944 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 945 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 946 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 947 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 948 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 949 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 950 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 951 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 952 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 953 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 954 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 955 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 956 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 957 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 958 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 959 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 960 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 961 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 962 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 963 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 964 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 965 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 966 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 967 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 968 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 969 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 970 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 971 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 972 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 973 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 974 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 975 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 976 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 977 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 978 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 979 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 980 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 981 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 982 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 983 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 984 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 985 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 986 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 987 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 988 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 989 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 990 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 991 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 992 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 993 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 994 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 995 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 996 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 997 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 998 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 999 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1000 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1001 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1002 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1003 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1004 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1005 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1006 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1007 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1008 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1009 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1010 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1011 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1012 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1013 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1014 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1015 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1016 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1017 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1018 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1019 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1020 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1021 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1022 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1023 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 266 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 267 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 298 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 299 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 330 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 331 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 362 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 363 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 778 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 779 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 810 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 811 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 842 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 843 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 874 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 875 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 0 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 2 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 3 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 4 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 5 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 6 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 7 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 8 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 9 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 10 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 11 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 12 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 13 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 14 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 15 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 16 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 17 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 18 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 19 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 20 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 21 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 22 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 23 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 24 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 25 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 26 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 27 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 28 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 29 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 30 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 31 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 32 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 33 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 34 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 35 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 36 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 37 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 38 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 39 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 40 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 41 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 42 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 43 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 46 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 47 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 48 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 49 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 50 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 51 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 52 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 53 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 54 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 55 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 56 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 57 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 58 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 59 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 60 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 61 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 62 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 63 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 64 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 65 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 66 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 67 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 68 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 69 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 70 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 71 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 72 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 73 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 74 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 75 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 76 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 77 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 78 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 79 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 80 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 81 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 82 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 83 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 84 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 85 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 86 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 87 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 88 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 89 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 90 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 91 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 92 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 93 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 94 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 95 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 96 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 97 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 98 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 99 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 100 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 101 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 102 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 103 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 104 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 105 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 106 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 107 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 108 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 109 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 110 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 111 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 112 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 113 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 114 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 115 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 116 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 117 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 118 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 119 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 120 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 121 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 122 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 123 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 124 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 125 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 126 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 127 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 128 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 129 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 130 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 131 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 132 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 133 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 134 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 135 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 136 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 137 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 138 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 139 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 140 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 141 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 142 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 143 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 144 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 145 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 146 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 147 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 148 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 149 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 150 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 151 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 152 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 153 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 154 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 155 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 156 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 157 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 158 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 159 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 160 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 161 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 162 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 163 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 164 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 165 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 166 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 167 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 168 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 169 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 170 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 171 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 174 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 175 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 176 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 177 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 178 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 179 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 180 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 181 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 182 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 183 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 184 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 185 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 186 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 187 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 188 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 189 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 190 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 191 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 192 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 193 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 194 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 195 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 196 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 197 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 198 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 199 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 200 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 201 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 202 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 203 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 204 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 205 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 206 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 207 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 208 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 209 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 210 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 211 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 212 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 213 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 214 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 215 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 216 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 217 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 218 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 219 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 220 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 221 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 222 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 223 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 224 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 225 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 226 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 227 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 228 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 229 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 230 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 231 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 232 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 233 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 234 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 235 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 236 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 237 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 238 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 239 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 240 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 241 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 242 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 243 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 244 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 245 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 246 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 247 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 248 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 249 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 250 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 251 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 252 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 253 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 254 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 255 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 264 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 265 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 272 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 273 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 274 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 275 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 276 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 277 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 278 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 279 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 280 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 281 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 282 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 283 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 284 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 285 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 286 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 287 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 296 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 297 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 302 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 303 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 304 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 305 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 306 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 307 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 308 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 309 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 310 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 311 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 312 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 313 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 314 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 315 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 316 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 317 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 318 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 319 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 320 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 321 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 322 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 323 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 324 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 325 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 326 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 327 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 328 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 329 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 332 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 333 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 334 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 335 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 336 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 337 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 338 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 339 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 340 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 341 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 342 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 343 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 344 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 345 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 346 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 347 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 348 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 349 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 350 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 351 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 352 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 353 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 354 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 355 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 356 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 357 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 358 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 359 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 360 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 361 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 364 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 365 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 366 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 367 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 368 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 369 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 370 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 371 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 372 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 373 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 374 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 375 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 376 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 377 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 378 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 379 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 380 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 381 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 382 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 383 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 384 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 385 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 386 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 387 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 388 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 389 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 390 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 391 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 392 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 393 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 394 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 395 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 396 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 397 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 398 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 399 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 400 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 401 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 402 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 403 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 404 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 405 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 406 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 407 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 408 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 409 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 410 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 411 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 412 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 413 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 414 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 415 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 416 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 417 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 418 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 419 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 420 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 421 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 422 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 423 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 424 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 425 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 426 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 427 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 430 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 431 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 432 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 433 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 434 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 435 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 436 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 437 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 438 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 439 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 440 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 441 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 442 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 443 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 444 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 445 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 446 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 447 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 448 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 449 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 450 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 451 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 452 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 453 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 454 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 455 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 456 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 457 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 458 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 459 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 460 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 461 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 462 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 463 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 464 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 465 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 466 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 467 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 468 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 469 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 470 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 471 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 472 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 473 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 474 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 475 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 476 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 477 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 478 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 479 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 480 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 481 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 482 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 483 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 484 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 485 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 486 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 487 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 488 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 489 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 490 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 491 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 492 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 493 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 494 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 495 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 496 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 497 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 498 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 499 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 500 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 501 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 502 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 503 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 504 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 505 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 506 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 507 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 508 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 509 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 510 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 511 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 512 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 513 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 514 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 515 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 516 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 517 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 518 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 519 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 520 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 521 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 522 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 523 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 524 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 525 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 526 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 527 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 528 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 529 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 530 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 531 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 532 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 533 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 534 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 535 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 536 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 537 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 538 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 539 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 540 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 541 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 542 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 543 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 544 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 545 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 546 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 547 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 548 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 549 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 550 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 551 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 552 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 553 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 554 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 555 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 556 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 557 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 558 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 559 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 560 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 561 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 562 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 563 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 564 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 565 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 566 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 567 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 568 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 569 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 570 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 571 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 572 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 573 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 574 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 575 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 576 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 577 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 578 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 579 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 580 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 581 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 582 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 583 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 584 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 585 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 586 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 587 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 588 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 589 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 590 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 591 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 592 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 593 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 594 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 595 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 596 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 597 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 598 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 599 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 600 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 601 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 602 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 603 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 604 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 605 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 606 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 607 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 608 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 609 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 610 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 611 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 612 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 613 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 614 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 615 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 616 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 617 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 618 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 619 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 620 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 621 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 622 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 623 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 624 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 625 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 626 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 627 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 628 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 629 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 630 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 631 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 632 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 633 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 634 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 635 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 636 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 637 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 638 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 639 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 640 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 641 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 642 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 643 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 644 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 645 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 646 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 647 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 648 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 649 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 650 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 651 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 652 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 653 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 654 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 655 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 656 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 657 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 658 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 659 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 660 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 661 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 662 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 663 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 664 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 665 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 666 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 667 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 668 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 669 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 670 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 671 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 672 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 673 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 674 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 675 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 676 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 677 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 678 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 679 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 680 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 681 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 682 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 683 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 684 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 685 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 686 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 687 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 688 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 689 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 690 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 691 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 692 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 693 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 694 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 695 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 696 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 697 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 698 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 699 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 700 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 701 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 702 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 703 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 704 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 705 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 706 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 707 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 708 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 709 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 710 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 711 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 712 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 713 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 714 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 715 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 716 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 717 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 718 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 719 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 720 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 721 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 722 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 723 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 724 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 725 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 726 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 727 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 728 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 729 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 730 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 731 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 732 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 733 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 734 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 735 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 736 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 737 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 738 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 739 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 740 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 741 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 742 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 743 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 744 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 745 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 746 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 747 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 748 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 749 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 750 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 751 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 752 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 753 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 754 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 755 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 756 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 757 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 758 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 759 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 760 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 761 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 762 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 763 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 764 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 765 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 766 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 767 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 768 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 769 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 770 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 771 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 772 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 773 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 774 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 775 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 776 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 777 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 780 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 781 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 782 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 783 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 784 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 785 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 786 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 787 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 788 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 789 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 790 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 791 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 792 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 793 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 794 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 795 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 796 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 797 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 798 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 799 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 800 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 801 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 802 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 803 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 804 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 805 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 806 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 807 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 808 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 809 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 812 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 813 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 814 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 815 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 816 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 817 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 818 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 819 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 820 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 821 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 822 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 823 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 824 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 825 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 826 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 827 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 828 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 829 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 830 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 831 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 832 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 833 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 834 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 835 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 836 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 837 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 838 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 839 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 840 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 841 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 844 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 845 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 846 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 847 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 848 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 849 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 850 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 851 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 852 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 853 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 854 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 855 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 856 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 857 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 858 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 859 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 860 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 861 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 862 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 863 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 864 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 865 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 866 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 867 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 868 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 869 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 870 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 871 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 872 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 873 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 876 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 877 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 878 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 879 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 880 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 881 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 882 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 883 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 884 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 885 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 886 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 887 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 888 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 889 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 890 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 891 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 892 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 893 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 894 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 895 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 896 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 897 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 898 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 899 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 900 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 901 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 902 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 903 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 904 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 905 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 906 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 907 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 908 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 909 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 910 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 911 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 912 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 913 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 914 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 915 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 916 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 917 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 918 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 919 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 920 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 921 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 922 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 923 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 924 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 925 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 926 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 927 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 928 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 929 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 930 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 931 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 932 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 933 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 934 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 935 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 936 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 937 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 938 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 939 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 940 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 941 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 942 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 943 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 944 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 945 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 946 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 947 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 948 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 949 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 950 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 951 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 952 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 953 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 954 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 955 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 956 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 957 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 958 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 959 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 960 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 961 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 962 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 963 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 964 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 965 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 966 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 967 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 968 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 969 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 970 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 971 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 972 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 973 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 974 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 975 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 976 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 977 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 978 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 979 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 980 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 981 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 982 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 983 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 984 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 985 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 986 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 987 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 988 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 989 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 990 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 991 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 992 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 993 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 994 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 995 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 996 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 997 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 998 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 999 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1000 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1001 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1002 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1003 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1004 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1005 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1006 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1007 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1008 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1009 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1010 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1011 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1012 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1013 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1014 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1015 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1016 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1017 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1018 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1019 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1020 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1021 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1022 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1023 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 44 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 45 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 172 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 173 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 256 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 257 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 258 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 259 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 260 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 261 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 262 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 263 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 266 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 267 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 268 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 269 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 270 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 271 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 288 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 289 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 290 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 291 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 292 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 293 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 294 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 295 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 298 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 299 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 300 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 301 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 330 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 331 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 362 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 363 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 428 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 429 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 778 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 779 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 810 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 811 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 842 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 843 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 874 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 875 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 0 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 2 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 3 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 4 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 5 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 6 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 7 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 8 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 9 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 10 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 11 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 12 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 13 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 14 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 15 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 16 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 17 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 18 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 19 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 20 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 21 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 22 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 23 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 24 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 25 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 26 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 27 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 28 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 29 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 30 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 31 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 32 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 33 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 34 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 35 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 36 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 37 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 38 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 39 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 40 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 41 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 42 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 43 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 46 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 47 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 48 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 49 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 50 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 51 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 52 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 53 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 54 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 55 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 56 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 57 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 58 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 59 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 60 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 61 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 62 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 63 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 64 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 65 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 66 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 67 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 68 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 69 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 70 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 71 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 72 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 73 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 74 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 75 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 76 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 77 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 78 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 79 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 80 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 81 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 82 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 83 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 84 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 85 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 86 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 87 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 88 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 89 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 90 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 91 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 92 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 93 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 94 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 95 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 96 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 97 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 98 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 99 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 100 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 101 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 102 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 103 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 104 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 105 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 106 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 107 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 108 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 109 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 110 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 111 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 112 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 113 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 114 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 115 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 116 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 117 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 118 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 119 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 120 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 121 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 122 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 123 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 124 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 125 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 126 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 127 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 128 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 129 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 130 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 131 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 132 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 133 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 134 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 135 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 136 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 137 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 138 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 139 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 140 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 141 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 142 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 143 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 144 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 145 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 146 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 147 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 148 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 149 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 150 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 151 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 152 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 153 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 154 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 155 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 156 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 157 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 158 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 159 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 160 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 161 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 162 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 163 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 164 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 165 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 166 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 167 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 168 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 169 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 170 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 171 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 174 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 175 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 176 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 177 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 178 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 179 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 180 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 181 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 182 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 183 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 184 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 185 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 186 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 187 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 188 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 189 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 190 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 191 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 192 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 193 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 194 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 195 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 196 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 197 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 198 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 199 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 200 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 201 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 202 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 203 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 204 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 205 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 206 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 207 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 208 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 209 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 210 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 211 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 212 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 213 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 214 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 215 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 216 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 217 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 218 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 219 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 220 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 221 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 222 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 223 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 224 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 225 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 226 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 227 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 228 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 229 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 230 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 231 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 232 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 233 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 234 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 235 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 236 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 237 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 238 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 239 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 240 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 241 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 242 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 243 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 244 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 245 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 246 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 247 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 248 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 249 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 250 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 251 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 252 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 253 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 254 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 255 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 264 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 265 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 272 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 273 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 274 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 275 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 276 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 277 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 278 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 279 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 280 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 281 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 282 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 283 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 284 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 285 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 286 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 287 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 296 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 297 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 302 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 303 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 304 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 305 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 306 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 307 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 308 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 309 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 310 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 311 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 312 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 313 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 314 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 315 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 316 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 317 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 318 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 319 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 320 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 321 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 322 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 323 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 324 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 325 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 326 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 327 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 328 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 329 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 332 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 333 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 334 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 335 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 336 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 337 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 338 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 339 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 340 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 341 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 342 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 343 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 344 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 345 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 346 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 347 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 348 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 349 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 350 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 351 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 352 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 353 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 354 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 355 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 356 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 357 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 358 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 359 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 360 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 361 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 364 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 365 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 366 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 367 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 368 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 369 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 370 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 371 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 372 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 373 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 374 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 375 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 376 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 377 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 378 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 379 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 380 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 381 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 382 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 383 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 384 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 385 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 386 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 387 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 388 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 389 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 390 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 391 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 392 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 393 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 394 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 395 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 396 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 397 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 398 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 399 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 400 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 401 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 402 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 403 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 404 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 405 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 406 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 407 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 408 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 409 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 410 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 411 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 412 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 413 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 414 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 415 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 416 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 417 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 418 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 419 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 420 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 421 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 422 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 423 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 424 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 425 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 426 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 427 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 430 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 431 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 432 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 433 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 434 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 435 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 436 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 437 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 438 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 439 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 440 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 441 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 442 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 443 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 444 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 445 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 446 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 447 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 448 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 449 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 450 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 451 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 452 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 453 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 454 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 455 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 456 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 457 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 458 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 459 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 460 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 461 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 462 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 463 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 464 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 465 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 466 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 467 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 468 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 469 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 470 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 471 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 472 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 473 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 474 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 475 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 476 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 477 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 478 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 479 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 480 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 481 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 482 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 483 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 484 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 485 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 486 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 487 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 488 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 489 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 490 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 491 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 492 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 493 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 494 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 495 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 496 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 497 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 498 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 499 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 500 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 501 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 502 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 503 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 504 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 505 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 506 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 507 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 508 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 509 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 510 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 511 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 512 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 513 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 514 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 515 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 516 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 517 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 518 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 519 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 520 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 521 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 522 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 523 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 524 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 525 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 526 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 527 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 528 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 529 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 530 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 531 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 532 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 533 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 534 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 535 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 536 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 537 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 538 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 539 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 540 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 541 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 542 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 543 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 544 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 545 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 546 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 547 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 548 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 549 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 550 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 551 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 552 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 553 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 554 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 555 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 556 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 557 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 558 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 559 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 560 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 561 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 562 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 563 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 564 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 565 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 566 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 567 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 568 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 569 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 570 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 571 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 572 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 573 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 574 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 575 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 576 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 577 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 578 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 579 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 580 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 581 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 582 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 583 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 584 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 585 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 586 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 587 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 588 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 589 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 590 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 591 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 592 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 593 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 594 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 595 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 596 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 597 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 598 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 599 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 600 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 601 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 602 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 603 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 604 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 605 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 606 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 607 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 608 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 609 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 610 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 611 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 612 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 613 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 614 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 615 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 616 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 617 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 618 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 619 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 620 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 621 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 622 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 623 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 624 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 625 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 626 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 627 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 628 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 629 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 630 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 631 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 632 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 633 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 634 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 635 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 636 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 637 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 638 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 639 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 640 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 641 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 642 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 643 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 644 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 645 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 646 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 647 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 648 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 649 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 650 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 651 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 652 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 653 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 654 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 655 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 656 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 657 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 658 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 659 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 660 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 661 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 662 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 663 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 664 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 665 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 666 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 667 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 668 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 669 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 670 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 671 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 672 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 673 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 674 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 675 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 676 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 677 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 678 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 679 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 680 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 681 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 682 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 683 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 684 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 685 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 686 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 687 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 688 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 689 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 690 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 691 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 692 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 693 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 694 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 695 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 696 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 697 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 698 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 699 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 700 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 701 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 702 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 703 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 704 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 705 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 706 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 707 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 708 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 709 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 710 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 711 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 712 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 713 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 714 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 715 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 716 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 717 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 718 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 719 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 720 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 721 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 722 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 723 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 724 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 725 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 726 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 727 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 728 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 729 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 730 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 731 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 732 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 733 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 734 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 735 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 736 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 737 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 738 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 739 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 740 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 741 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 742 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 743 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 744 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 745 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 746 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 747 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 748 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 749 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 750 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 751 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 752 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 753 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 754 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 755 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 756 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 757 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 758 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 759 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 760 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 761 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 762 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 763 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 764 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 765 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 766 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 767 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 768 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 769 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 770 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 771 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 772 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 773 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 774 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 775 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 776 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 777 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 780 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 781 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 782 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 783 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 784 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 785 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 786 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 787 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 788 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 789 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 790 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 791 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 792 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 793 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 794 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 795 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 796 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 797 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 798 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 799 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 800 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 801 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 802 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 803 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 804 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 805 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 806 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 807 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 808 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 809 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 812 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 813 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 814 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 815 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 816 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 817 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 818 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 819 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 820 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 821 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 822 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 823 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 824 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 825 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 826 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 827 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 828 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 829 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 830 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 831 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 832 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 833 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 834 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 835 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 836 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 837 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 838 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 839 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 840 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 841 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 844 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 845 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 846 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 847 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 848 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 849 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 850 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 851 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 852 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 853 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 854 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 855 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 856 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 857 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 858 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 859 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 860 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 861 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 862 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 863 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 864 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 865 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 866 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 867 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 868 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 869 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 870 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 871 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 872 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 873 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 876 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 877 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 878 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 879 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 880 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 881 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 882 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 883 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 884 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 885 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 886 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 887 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 888 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 889 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 890 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 891 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 892 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 893 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 894 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 895 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 896 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 897 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 898 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 899 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 900 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 901 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 902 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 903 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 904 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 905 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 906 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 907 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 908 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 909 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 910 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 911 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 912 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 913 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 914 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 915 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 916 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 917 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 918 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 919 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 920 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 921 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 922 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 923 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 924 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 925 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 926 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 927 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 928 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 929 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 930 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 931 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 932 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 933 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 934 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 935 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 936 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 937 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 938 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 939 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 940 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 941 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 942 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 943 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 944 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 945 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 946 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 947 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 948 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 949 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 950 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 951 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 952 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 953 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 954 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 955 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 956 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 957 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 958 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 959 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 960 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 961 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 962 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 963 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 964 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 965 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 966 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 967 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 968 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 969 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 970 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 971 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 972 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 973 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 974 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 975 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 976 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 977 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 978 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 979 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 980 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 981 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 982 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 983 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 984 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 985 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 986 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 987 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 988 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 989 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 990 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 991 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 992 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 993 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 994 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 995 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 996 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 997 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 998 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 999 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1000 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1001 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1002 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1003 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1004 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1005 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1006 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1007 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1008 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1009 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1010 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1011 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1012 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1013 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1014 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1015 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1016 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1017 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1018 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1019 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1020 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1021 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1022 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1023 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 44 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 45 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 172 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 173 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 330 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 331 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 362 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 363 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 428 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 429 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 778 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 779 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 810 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 811 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 842 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 843 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 874 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 875 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 46 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 47 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 174 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 175 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 192 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 193 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 194 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 195 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 196 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 197 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 198 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 199 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 200 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 201 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 202 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 203 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 204 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 205 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 206 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 207 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 208 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 209 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 210 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 211 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 212 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 213 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 214 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 215 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 216 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 217 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 218 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 219 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 220 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 221 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 222 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 223 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 224 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 225 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 226 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 227 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 228 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 229 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 230 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 231 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 232 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 233 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 234 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 235 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 236 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 237 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 238 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 239 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 240 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 241 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 242 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 243 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 244 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 245 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 246 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 247 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 248 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 249 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 250 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 251 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 252 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 253 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 254 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 255 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 328 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 329 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 360 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 361 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 430 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 431 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 448 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 449 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 450 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 451 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 452 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 453 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 454 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 455 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 456 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 457 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 458 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 459 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 460 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 461 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 462 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 463 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 464 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 465 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 466 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 467 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 468 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 469 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 470 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 471 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 472 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 473 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 474 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 475 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 476 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 477 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 478 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 479 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 480 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 481 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 482 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 483 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 484 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 485 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 486 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 487 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 488 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 489 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 490 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 491 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 492 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 493 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 494 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 495 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 496 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 497 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 498 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 499 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 500 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 501 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 502 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 503 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 504 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 505 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 506 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 507 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 508 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 509 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 510 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 511 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 640 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 641 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 642 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 643 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 644 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 645 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 646 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 647 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 648 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 649 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 650 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 651 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 652 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 653 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 654 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 655 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 656 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 657 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 658 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 659 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 660 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 661 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 662 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 663 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 664 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 665 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 666 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 667 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 668 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 669 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 670 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 671 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 672 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 673 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 674 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 675 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 676 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 677 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 678 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 679 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 680 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 681 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 682 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 683 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 684 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 685 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 686 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 687 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 688 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 689 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 690 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 691 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 692 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 693 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 694 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 695 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 696 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 697 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 698 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 699 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 700 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 701 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 702 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 703 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 704 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 705 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 706 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 707 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 708 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 709 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 710 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 711 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 712 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 713 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 714 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 715 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 716 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 717 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 718 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 719 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 720 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 721 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 722 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 723 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 724 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 725 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 726 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 727 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 728 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 729 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 730 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 731 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 732 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 733 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 734 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 735 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 736 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 737 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 738 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 739 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 740 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 741 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 742 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 743 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 744 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 745 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 746 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 747 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 748 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 749 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 750 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 751 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 752 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 753 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 754 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 755 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 756 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 757 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 758 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 759 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 760 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 761 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 762 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 763 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 764 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 765 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 766 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 767 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 776 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 777 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 808 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 809 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 840 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 841 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 872 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 873 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 896 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 897 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 898 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 899 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 900 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 901 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 902 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 903 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 904 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 905 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 906 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 907 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 908 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 909 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 910 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 911 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 912 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 913 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 914 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 915 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 916 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 917 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 918 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 919 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 920 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 921 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 922 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 923 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 924 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 925 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 926 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 927 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 928 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 929 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 930 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 931 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 932 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 933 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 934 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 935 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 936 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 937 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 938 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 939 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 940 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 941 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 942 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 943 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 944 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 945 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 946 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 947 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 948 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 949 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 950 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 951 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 952 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 953 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 954 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 955 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 956 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 957 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 958 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 959 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 960 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 961 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 962 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 963 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 964 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 965 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 966 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 967 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 968 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 969 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 970 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 971 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 972 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 973 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 974 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 975 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 976 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 977 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 978 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 979 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 980 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 981 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 982 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 983 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 984 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 985 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 986 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 987 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 988 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 989 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 990 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 991 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 992 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 993 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 994 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 995 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 996 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 997 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 998 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 999 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1000 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1001 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1002 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1003 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1004 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1005 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1006 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1007 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1008 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1009 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1010 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1011 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1012 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1013 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1014 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1015 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1016 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1017 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1018 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1019 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1020 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1021 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1022 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1023 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 128 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 129 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 130 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 131 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 132 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 133 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 134 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 135 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 136 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 137 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 138 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 139 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 140 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 141 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 142 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 143 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 144 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 145 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 146 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 147 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 148 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 149 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 150 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 151 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 152 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 153 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 154 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 155 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 156 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 157 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 158 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 159 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 160 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 161 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 162 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 163 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 164 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 165 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 166 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 167 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 168 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 169 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 170 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 171 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 176 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 177 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 178 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 179 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 180 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 181 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 182 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 183 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 184 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 185 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 186 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 187 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 188 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 189 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 190 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 191 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 256 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 257 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 258 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 259 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 260 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 261 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 262 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 263 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 264 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 265 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 266 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 267 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 268 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 269 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 270 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 271 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 288 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 289 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 290 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 291 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 292 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 293 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 294 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 295 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 296 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 297 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 298 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 299 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 300 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 301 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 302 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 303 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 384 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 385 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 386 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 387 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 388 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 389 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 390 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 391 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 392 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 393 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 394 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 395 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 396 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 397 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 398 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 399 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 400 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 401 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 402 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 403 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 404 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 405 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 406 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 407 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 408 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 409 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 410 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 411 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 412 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 413 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 414 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 415 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 416 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 417 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 418 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 419 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 420 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 421 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 422 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 423 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 424 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 425 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 426 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 427 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 432 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 433 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 434 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 435 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 436 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 437 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 438 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 439 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 440 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 441 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 442 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 443 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 444 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 445 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 446 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 447 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 172 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 173 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 428 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 429 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 174 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 175 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 430 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 431 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +All tests passed successfully :) diff --git a/test/noc_tb.vhdl b/test/noc_tb.vhdl index e6973fc..2c68621 100644 --- a/test/noc_tb.vhdl +++ b/test/noc_tb.vhdl @@ -37,6 +37,8 @@ architecture bench of noc_tb is signal pe_rcv_acks : std_logic_vector(4**level-1 downto 0); signal pe_send_reqs : std_logic_vector(4**level-1 downto 0); + signal c_send_ack_nxt : std_logic_vector(4*num_paths_ext-1 downto 0); + signal pe_send_ack_nxt : std_logic_vector(4**level-1 downto 0); signal c_send_reqs_prev : std_logic_vector(4*num_paths_ext-1 downto 0); signal pe_send_reqs_prev : std_logic_vector(4**level-1 downto 0); @@ -106,10 +108,8 @@ begin arstN <= '0'; data_chip_in <= (others => (others => '0')); c_rcv_reqs <= (others => '0'); - c_send_ack <= (others => '0'); pe_data_in <= (others => (others => '0')); pe_rcv_reqs <= (others => '0'); - pe_send_ack <= (others => '0'); wait until rising_edge(clk); arstN <= '1'; wait until rising_edge(clk); @@ -152,7 +152,7 @@ begin save_results: process(c_send_reqs, pe_send_reqs, c_send_reqs_prev, pe_send_reqs_prev, - data_chip_out, pe_data_out) + data_chip_out, pe_data_out, pe_send_ack, c_send_ack) variable istwert : WORD; variable istpath : integer; begin @@ -163,7 +163,9 @@ begin istwert := data_chip_out(i); istpath := i; log_test_result(i, istwert, '1', istpath); - c_send_ack(i) <= not c_send_ack(i); + c_send_ack_nxt(i) <= not c_send_ack(i); + else + c_send_ack_nxt(i) <= c_send_ack(i); end if; end loop; elsif pe_send_reqs'event or pe_send_reqs_prev'event then @@ -173,17 +175,31 @@ begin istwert := pe_data_out(i); istpath := i; log_test_result(i, istwert, '0', istpath); - pe_send_ack(i) <= not pe_send_ack(i); + pe_send_ack_nxt(i) <= not pe_send_ack(i); + else + pe_send_ack_nxt(i) <= pe_send_ack(i); end if; end loop; + else + for i in 0 to 4**level-1 loop + pe_send_ack_nxt(i) <= pe_send_ack(i); + end loop; + for i in 0 to num_paths_ext*4-1 loop + c_send_ack_nxt(i) <= c_send_ack(i); + end loop; end if; end process; - update_signals: process(clk) + update_signals: process(clk, arstN) begin - if rising_edge(clk) then + if arstN = '0' then + c_send_ack <= (others => '0'); + pe_send_ack <= (others => '0'); + elsif rising_edge(clk) then c_send_reqs_prev <= c_send_reqs; pe_send_reqs_prev <= pe_send_reqs; + c_send_ack <= c_send_ack_nxt; + pe_send_ack <= pe_send_ack_nxt; end if; end process; end; \ No newline at end of file diff --git a/test/scripts/validate_results/simulation.log b/test/scripts/validate_results/simulation.log index 05b1b3a..87956b3 100644 --- a/test/scripts/validate_results/simulation.log +++ b/test/scripts/validate_results/simulation.log @@ -75,6 +75,7 @@ 0 72 0001000000000000001000001111111111000000000000000000000000000001 0 73 0001000000000000001000001111111111000000000000000000000000000001 0 74 0001000000000000001000001111111111000000000000000000000000000001 +0 75 0001000000000000001000001111111111000000000000000000000000000001 0 76 0001000000000000001000001111111111000000000000000000000000000001 0 77 0001000000000000001000001111111111000000000000000000000000000001 0 78 0001000000000000001000001111111111000000000000000000000000000001 @@ -265,8 +266,6 @@ 0 263 0001000000000000001000001111111111000000000000000000000000000001 0 264 0001000000000011001011101010001001000000000000000000000000000001 0 265 0001000000000011001011101010001001000000000000000000000000000001 -0 266 0001000000000000001000001111111111000000000000000000000000000001 -0 267 0001000000000000001000001111111111000000000000000000000000000001 0 268 0001000000000000001000001111111111000000000000000000000000000001 0 269 0001000000000000001000001111111111000000000000000000000000000001 0 270 0001000000000000001000001111111111000000000000000000000000000001 @@ -297,8 +296,6 @@ 0 295 0001000000000000001000001111111111000000000000000000000000000001 0 296 0001000000000011001011101010001001000000000000000000000000000001 0 297 0001000000000011001011101010001001000000000000000000000000000001 -0 298 0001000000000000001000001111111111000000000000000000000000000001 -0 299 0001000000000000001000001111111111000000000000000000000000000001 0 300 0001000000000000001000001111111111000000000000000000000000000001 0 301 0001000000000000001000001111111111000000000000000000000000000001 0 302 0001000000000000001000001111111111000000000000000000000000000001 @@ -329,8 +326,6 @@ 0 327 0001000000000000001000001111111111000000000000000000000000000001 0 328 0001000000000011001011101010001001000000000000000000000000000001 0 329 0001000000000011001011101010001001000000000000000000000000000001 -0 330 0001000000000000001000001111111111000000000000000000000000000001 -0 331 0001000000000000001000001111111111000000000000000000000000000001 0 332 0001000000000000001000001111111111000000000000000000000000000001 0 333 0001000000000000001000001111111111000000000000000000000000000001 0 334 0001000000000000001000001111111111000000000000000000000000000001 @@ -361,8 +356,6 @@ 0 359 0001000000000000001000001111111111000000000000000000000000000001 0 360 0001000000000011001011101010001001000000000000000000000000000001 0 361 0001000000000011001011101010001001000000000000000000000000000001 -0 362 0001000000000000001000001111111111000000000000000000000000000001 -0 363 0001000000000000001000001111111111000000000000000000000000000001 0 364 0001000000000000001000001111111111000000000000000000000000000001 0 365 0001000000000000001000001111111111000000000000000000000000000001 0 366 0001000000000000001000001111111111000000000000000000000000000001 @@ -777,8 +770,6 @@ 0 775 0001000000000000001000001111111111000000000000000000000000000001 0 776 0001000000000011001011101010001001000000000000000000000000000001 0 777 0001000000000011001011101010001001000000000000000000000000000001 -0 778 0001000000000000001000001111111111000000000000000000000000000001 -0 779 0001000000000000001000001111111111000000000000000000000000000001 0 780 0001000000000000001000001111111111000000000000000000000000000001 0 781 0001000000000000001000001111111111000000000000000000000000000001 0 782 0001000000000000001000001111111111000000000000000000000000000001 @@ -786,6 +777,7 @@ 0 784 0001000000000000001000001111111111000000000000000000000000000001 0 785 0001000000000000001000001111111111000000000000000000000000000001 0 786 0001000000000000001000001111111111000000000000000000000000000001 +0 787 0001000000000000001000001111111111000000000000000000000000000001 0 788 0001000000000000001000001111111111000000000000000000000000000001 0 789 0001000000000000001000001111111111000000000000000000000000000001 0 790 0001000000000000001000001111111111000000000000000000000000000001 @@ -808,8 +800,6 @@ 0 807 0001000000000000001000001111111111000000000000000000000000000001 0 808 0001000000000011001011101010001001000000000000000000000000000001 0 809 0001000000000011001011101010001001000000000000000000000000000001 -0 810 0001000000000000001000001111111111000000000000000000000000000001 -0 811 0001000000000000001000001111111111000000000000000000000000000001 0 812 0001000000000000001000001111111111000000000000000000000000000001 0 813 0001000000000000001000001111111111000000000000000000000000000001 0 814 0001000000000000001000001111111111000000000000000000000000000001 @@ -840,8 +830,6 @@ 0 839 0001000000000000001000001111111111000000000000000000000000000001 0 840 0001000000000011001011101010001001000000000000000000000000000001 0 841 0001000000000011001011101010001001000000000000000000000000000001 -0 842 0001000000000000001000001111111111000000000000000000000000000001 -0 843 0001000000000000001000001111111111000000000000000000000000000001 0 844 0001000000000000001000001111111111000000000000000000000000000001 0 845 0001000000000000001000001111111111000000000000000000000000000001 0 846 0001000000000000001000001111111111000000000000000000000000000001 @@ -872,8 +860,6 @@ 0 871 0001000000000000001000001111111111000000000000000000000000000001 0 872 0001000000000011001011101010001001000000000000000000000000000001 0 873 0001000000000011001011101010001001000000000000000000000000000001 -0 874 0001000000000000001000001111111111000000000000000000000000000001 -0 875 0001000000000000001000001111111111000000000000000000000000000001 0 876 0001000000000000001000001111111111000000000000000000000000000001 0 877 0001000000000000001000001111111111000000000000000000000000000001 0 878 0001000000000000001000001111111111000000000000000000000000000001 @@ -1022,51 +1008,2603 @@ 0 1021 0001000000000000001000001111111111000000000000000000000000000001 0 1022 0001000000000000001000001111111111000000000000000000000000000001 0 1023 0001000000000000001000001111111111000000000000000000000000000001 -1 0 0001000000000010000000001111111111000000000000000000000000000001 -1 1 0001000000000001100110101111111111000000000000000000000000000001 -1 2 0001000000000010000000001111111111000000000000000000000000000001 -1 3 0001000000000010000000001111111111000000000000000000000000000001 -1 4 0001000000000010000000001111111111000000000000000000000000000001 -1 5 0001000000000010000000001111111111000000000000000000000000000001 -1 6 0001000000000010000000001111111111000000000000000000000000000001 -1 7 0001000000000010000000001111111111000000000000000000000000000001 -1 8 0001000000000010110110111011111111000000000000000000000000000001 -1 9 0001000000000010000000001111111111000000000000000000000000000001 -1 10 0001000000000010110110111011111111000000000000000000000000000001 -1 11 0001000000000001100110101111111111000000000000000000000000000001 -1 12 0001000000000010000000001111111111000000000000000000000000000001 -1 13 0001000000000010000000001111111111000000000000000000000000000001 -1 14 0001000000000010110110111011111111000000000000000000000000000001 -1 15 0001000000000010000000001111111111000000000000000000000000000001 -1 16 0001000000000010000000001111111111000000000000000000000000000001 -1 17 0001000000000010000000001111111111000000000000000000000000000001 -1 18 0001000000000010000000001111111111000000000000000000000000000001 -1 19 0001000000000010000000001111111111000000000000000000000000000001 -1 20 0001000000000010000000001111111111000000000000000000000000000001 -1 21 0001000000000010000000001111111111000000000000000000000000000001 -1 22 0001000000000010000000001111111111000000000000000000000000000001 -1 23 0001000000000010000000001111111111000000000000000000000000000001 -1 24 0001000000000010000000001111111111000000000000000000000000000001 -1 25 0001000000000010110110111011111111000000000000000000000000000001 -1 26 0001000000000010000000001111111111000000000000000000000000000001 -1 27 0001000000000010110110111011111111000000000000000000000000000001 -1 28 0001000000000010000000001111111111000000000000000000000000000001 -1 29 0001000000000010110110111011111111000000000000000000000000000001 -1 30 0001000000000010000000001111111111000000000000000000000000000001 -1 31 0001000000000010110110111011111111000000000000000000000000000001 -1 32 0001000000000010000000001111111111000000000000000000000000000001 -1 33 0001000000000010000000001111111111000000000000000000000000000001 -1 34 0001000000000010000000001111111111000000000000000000000000000001 -1 35 0001000000000010000000001111111111000000000000000000000000000001 -1 36 0001000000000010000000001111111111000000000000000000000000000001 -1 37 0001000000000010000000001111111111000000000000000000000000000001 -1 38 0001000000000010000000001111111111000000000000000000000000000001 -1 39 0001000000000010000000001111111111000000000000000000000000000001 -1 40 0001000000000010000000001111111111000000000000000000000000000001 -1 41 0001000000000010110110111011111111000000000000000000000000000001 -1 42 0001000000000010000000001111111111000000000000000000000000000001 -1 43 0001000000000010110110111011111111000000000000000000000000000001 -1 44 0001000000000010000000001111111111000000000000000000000000000001 -1 45 0001000000000010110110111011111111000000000000000000000000000001 -1 46 0001000000000010000000001111111111000000000000000000000000000001 -1 47 0001000000000010110110111011111111000000000000000000000000000001 +0 266 0001000000000000001000001111111111000000000000000000000000000001 +0 267 0001000000000000001000001111111111000000000000000000000000000001 +0 298 0001000000000000001000001111111111000000000000000000000000000001 +0 299 0001000000000000001000001111111111000000000000000000000000000001 +0 330 0001000000000000001000001111111111000000000000000000000000000001 +0 331 0001000000000000001000001111111111000000000000000000000000000001 +0 362 0001000000000000001000001111111111000000000000000000000000000001 +0 363 0001000000000000001000001111111111000000000000000000000000000001 +0 778 0001000000000000001000001111111111000000000000000000000000000001 +0 779 0001000000000000001000001111111111000000000000000000000000000001 +0 810 0001000000000000001000001111111111000000000000000000000000000001 +0 811 0001000000000000001000001111111111000000000000000000000000000001 +0 842 0001000000000000001000001111111111000000000000000000000000000001 +0 843 0001000000000000001000001111111111000000000000000000000000000001 +0 874 0001000000000000001000001111111111000000000000000000000000000001 +0 875 0001000000000000001000001111111111000000000000000000000000000001 +0 0 0001000000000001100110101111111111000000000000000000000000000001 +0 1 0001000000000001100110101111111111000000000000000000000000000001 +0 2 0001000000000001100110101111111111000000000000000000000000000001 +0 3 0001000000000001100110101111111111000000000000000000000000000001 +0 4 0001000000000001100110101111111111000000000000000000000000000001 +0 5 0001000000000001100110101111111111000000000000000000000000000001 +0 6 0001000000000001100110101111111111000000000000000000000000000001 +0 7 0001000000000001100110101111111111000000000000000000000000000001 +0 8 0001000000000001100110101111111111000000000000000000000000000001 +0 9 0001000000000001100110101111111111000000000000000000000000000001 +0 10 0001000000000001100110101111111111000000000000000000000000000001 +0 11 0001000000000001100110101111111111000000000000000000000000000001 +0 12 0001000000000001100110101111111111000000000000000000000000000001 +0 13 0001000000000001100110101111111111000000000000000000000000000001 +0 14 0001000000000001100110101111111111000000000000000000000000000001 +0 15 0001000000000001100110101111111111000000000000000000000000000001 +0 16 0001000000000001100110101111111111000000000000000000000000000001 +0 17 0001000000000001100110101111111111000000000000000000000000000001 +0 18 0001000000000001100110101111111111000000000000000000000000000001 +0 19 0001000000000001100110101111111111000000000000000000000000000001 +0 20 0001000000000001100110101111111111000000000000000000000000000001 +0 21 0001000000000001100110101111111111000000000000000000000000000001 +0 22 0001000000000001100110101111111111000000000000000000000000000001 +0 23 0001000000000001100110101111111111000000000000000000000000000001 +0 24 0001000000000001100110101111111111000000000000000000000000000001 +0 25 0001000000000001100110101111111111000000000000000000000000000001 +0 26 0001000000000001100110101111111111000000000000000000000000000001 +0 27 0001000000000001100110101111111111000000000000000000000000000001 +0 28 0001000000000001100110101111111111000000000000000000000000000001 +0 29 0001000000000001100110101111111111000000000000000000000000000001 +0 30 0001000000000001100110101111111111000000000000000000000000000001 +0 31 0001000000000001100110101111111111000000000000000000000000000001 +0 32 0001000000000010000000001111111111000000000000000000000000000001 +0 33 0001000000000010000000001111111111000000000000000000000000000001 +0 34 0001000000000010000000001111111111000000000000000000000000000001 +0 35 0001000000000010000000001111111111000000000000000000000000000001 +0 36 0001000000000010000000001111111111000000000000000000000000000001 +0 37 0001000000000010000000001111111111000000000000000000000000000001 +0 38 0001000000000010000000001111111111000000000000000000000000000001 +0 39 0001000000000010000000001111111111000000000000000000000000000001 +0 40 0001000000000010000000001111111111000000000000000000000000000001 +0 41 0001000000000010000000001111111111000000000000000000000000000001 +0 42 0001000000000010000000001111111111000000000000000000000000000001 +0 43 0001000000000010000000001111111111000000000000000000000000000001 +0 46 0001000000000010000011000100010001000000000000000000000000000001 +0 47 0001000000000010000011000100010001000000000000000000000000000001 +0 48 0001000000000001100110101111111111000000000000000000000000000001 +0 49 0001000000000001100110101111111111000000000000000000000000000001 +0 50 0001000000000001100110101111111111000000000000000000000000000001 +0 51 0001000000000001100110101111111111000000000000000000000000000001 +0 52 0001000000000001100110101111111111000000000000000000000000000001 +0 53 0001000000000001100110101111111111000000000000000000000000000001 +0 54 0001000000000001100110101111111111000000000000000000000000000001 +0 55 0001000000000001100110101111111111000000000000000000000000000001 +0 56 0001000000000001100110101111111111000000000000000000000000000001 +0 57 0001000000000001100110101111111111000000000000000000000000000001 +0 58 0001000000000001100110101111111111000000000000000000000000000001 +0 59 0001000000000001100110101111111111000000000000000000000000000001 +0 60 0001000000000001100110101111111111000000000000000000000000000001 +0 61 0001000000000001100110101111111111000000000000000000000000000001 +0 62 0001000000000001100110101111111111000000000000000000000000000001 +0 63 0001000000000001100110101111111111000000000000000000000000000001 +0 64 0001000000000001100110101111111111000000000000000000000000000001 +0 65 0001000000000001100110101111111111000000000000000000000000000001 +0 66 0001000000000001100110101111111111000000000000000000000000000001 +0 67 0001000000000001100110101111111111000000000000000000000000000001 +0 68 0001000000000001100110101111111111000000000000000000000000000001 +0 69 0001000000000001100110101111111111000000000000000000000000000001 +0 70 0001000000000001100110101111111111000000000000000000000000000001 +0 71 0001000000000001100110101111111111000000000000000000000000000001 +0 72 0001000000000001100110101111111111000000000000000000000000000001 +0 73 0001000000000001100110101111111111000000000000000000000000000001 +0 74 0001000000000001100110101111111111000000000000000000000000000001 +0 75 0001000000000001100110101111111111000000000000000000000000000001 +0 76 0001000000000001100110101111111111000000000000000000000000000001 +0 77 0001000000000001100110101111111111000000000000000000000000000001 +0 78 0001000000000001100110101111111111000000000000000000000000000001 +0 79 0001000000000001100110101111111111000000000000000000000000000001 +0 80 0001000000000001100110101111111111000000000000000000000000000001 +0 81 0001000000000001100110101111111111000000000000000000000000000001 +0 82 0001000000000001100110101111111111000000000000000000000000000001 +0 83 0001000000000001100110101111111111000000000000000000000000000001 +0 84 0001000000000001100110101111111111000000000000000000000000000001 +0 85 0001000000000001100110101111111111000000000000000000000000000001 +0 86 0001000000000001100110101111111111000000000000000000000000000001 +0 87 0001000000000001100110101111111111000000000000000000000000000001 +0 88 0001000000000001100110101111111111000000000000000000000000000001 +0 89 0001000000000001100110101111111111000000000000000000000000000001 +0 90 0001000000000001100110101111111111000000000000000000000000000001 +0 91 0001000000000001100110101111111111000000000000000000000000000001 +0 92 0001000000000001100110101111111111000000000000000000000000000001 +0 93 0001000000000001100110101111111111000000000000000000000000000001 +0 94 0001000000000001100110101111111111000000000000000000000000000001 +0 95 0001000000000001100110101111111111000000000000000000000000000001 +0 96 0001000000000001100110101111111111000000000000000000000000000001 +0 97 0001000000000001100110101111111111000000000000000000000000000001 +0 98 0001000000000001100110101111111111000000000000000000000000000001 +0 99 0001000000000001100110101111111111000000000000000000000000000001 +0 100 0001000000000001100110101111111111000000000000000000000000000001 +0 101 0001000000000001100110101111111111000000000000000000000000000001 +0 102 0001000000000001100110101111111111000000000000000000000000000001 +0 103 0001000000000001100110101111111111000000000000000000000000000001 +0 104 0001000000000001100110101111111111000000000000000000000000000001 +0 105 0001000000000001100110101111111111000000000000000000000000000001 +0 106 0001000000000001100110101111111111000000000000000000000000000001 +0 107 0001000000000001100110101111111111000000000000000000000000000001 +0 108 0001000000000001100110101111111111000000000000000000000000000001 +0 109 0001000000000001100110101111111111000000000000000000000000000001 +0 110 0001000000000001100110101111111111000000000000000000000000000001 +0 111 0001000000000001100110101111111111000000000000000000000000000001 +0 112 0001000000000001100110101111111111000000000000000000000000000001 +0 113 0001000000000001100110101111111111000000000000000000000000000001 +0 114 0001000000000001100110101111111111000000000000000000000000000001 +0 115 0001000000000001100110101111111111000000000000000000000000000001 +0 116 0001000000000001100110101111111111000000000000000000000000000001 +0 117 0001000000000001100110101111111111000000000000000000000000000001 +0 118 0001000000000001100110101111111111000000000000000000000000000001 +0 119 0001000000000001100110101111111111000000000000000000000000000001 +0 120 0001000000000001100110101111111111000000000000000000000000000001 +0 121 0001000000000001100110101111111111000000000000000000000000000001 +0 122 0001000000000001100110101111111111000000000000000000000000000001 +0 123 0001000000000001100110101111111111000000000000000000000000000001 +0 124 0001000000000001100110101111111111000000000000000000000000000001 +0 125 0001000000000001100110101111111111000000000000000000000000000001 +0 126 0001000000000001100110101111111111000000000000000000000000000001 +0 127 0001000000000001100110101111111111000000000000000000000000000001 +0 128 0001000000000001100110101111111111000000000000000000000000000001 +0 129 0001000000000001100110101111111111000000000000000000000000000001 +0 130 0001000000000001100110101111111111000000000000000000000000000001 +0 131 0001000000000001100110101111111111000000000000000000000000000001 +0 132 0001000000000001100110101111111111000000000000000000000000000001 +0 133 0001000000000001100110101111111111000000000000000000000000000001 +0 134 0001000000000001100110101111111111000000000000000000000000000001 +0 135 0001000000000001100110101111111111000000000000000000000000000001 +0 136 0001000000000001100110101111111111000000000000000000000000000001 +0 137 0001000000000001100110101111111111000000000000000000000000000001 +0 138 0001000000000001100110101111111111000000000000000000000000000001 +0 139 0001000000000001100110101111111111000000000000000000000000000001 +0 140 0001000000000001100110101111111111000000000000000000000000000001 +0 141 0001000000000001100110101111111111000000000000000000000000000001 +0 142 0001000000000001100110101111111111000000000000000000000000000001 +0 143 0001000000000001100110101111111111000000000000000000000000000001 +0 144 0001000000000001100110101111111111000000000000000000000000000001 +0 145 0001000000000001100110101111111111000000000000000000000000000001 +0 146 0001000000000001100110101111111111000000000000000000000000000001 +0 147 0001000000000001100110101111111111000000000000000000000000000001 +0 148 0001000000000001100110101111111111000000000000000000000000000001 +0 149 0001000000000001100110101111111111000000000000000000000000000001 +0 150 0001000000000001100110101111111111000000000000000000000000000001 +0 151 0001000000000001100110101111111111000000000000000000000000000001 +0 152 0001000000000001100110101111111111000000000000000000000000000001 +0 153 0001000000000001100110101111111111000000000000000000000000000001 +0 154 0001000000000001100110101111111111000000000000000000000000000001 +0 155 0001000000000001100110101111111111000000000000000000000000000001 +0 156 0001000000000001100110101111111111000000000000000000000000000001 +0 157 0001000000000001100110101111111111000000000000000000000000000001 +0 158 0001000000000001100110101111111111000000000000000000000000000001 +0 159 0001000000000001100110101111111111000000000000000000000000000001 +0 160 0001000000000010000000001111111111000000000000000000000000000001 +0 161 0001000000000010000000001111111111000000000000000000000000000001 +0 162 0001000000000010000000001111111111000000000000000000000000000001 +0 163 0001000000000010000000001111111111000000000000000000000000000001 +0 164 0001000000000010000000001111111111000000000000000000000000000001 +0 165 0001000000000010000000001111111111000000000000000000000000000001 +0 166 0001000000000010000000001111111111000000000000000000000000000001 +0 167 0001000000000010000000001111111111000000000000000000000000000001 +0 168 0001000000000010000000001111111111000000000000000000000000000001 +0 169 0001000000000010000000001111111111000000000000000000000000000001 +0 170 0001000000000010000000001111111111000000000000000000000000000001 +0 171 0001000000000010000000001111111111000000000000000000000000000001 +0 174 0001000000000010000011000100010001000000000000000000000000000001 +0 175 0001000000000010000011000100010001000000000000000000000000000001 +0 176 0001000000000001100110101111111111000000000000000000000000000001 +0 177 0001000000000001100110101111111111000000000000000000000000000001 +0 178 0001000000000001100110101111111111000000000000000000000000000001 +0 179 0001000000000001100110101111111111000000000000000000000000000001 +0 180 0001000000000001100110101111111111000000000000000000000000000001 +0 181 0001000000000001100110101111111111000000000000000000000000000001 +0 182 0001000000000001100110101111111111000000000000000000000000000001 +0 183 0001000000000001100110101111111111000000000000000000000000000001 +0 184 0001000000000001100110101111111111000000000000000000000000000001 +0 185 0001000000000001100110101111111111000000000000000000000000000001 +0 186 0001000000000001100110101111111111000000000000000000000000000001 +0 187 0001000000000001100110101111111111000000000000000000000000000001 +0 188 0001000000000001100110101111111111000000000000000000000000000001 +0 189 0001000000000001100110101111111111000000000000000000000000000001 +0 190 0001000000000001100110101111111111000000000000000000000000000001 +0 191 0001000000000001100110101111111111000000000000000000000000000001 +0 192 0001000000000001100110101111111111000000000000000000000000000001 +0 193 0001000000000001100110101111111111000000000000000000000000000001 +0 194 0001000000000001100110101111111111000000000000000000000000000001 +0 195 0001000000000001100110101111111111000000000000000000000000000001 +0 196 0001000000000001100110101111111111000000000000000000000000000001 +0 197 0001000000000001100110101111111111000000000000000000000000000001 +0 198 0001000000000001100110101111111111000000000000000000000000000001 +0 199 0001000000000001100110101111111111000000000000000000000000000001 +0 200 0001000000000001100110101111111111000000000000000000000000000001 +0 201 0001000000000001100110101111111111000000000000000000000000000001 +0 202 0001000000000001100110101111111111000000000000000000000000000001 +0 203 0001000000000001100110101111111111000000000000000000000000000001 +0 204 0001000000000001100110101111111111000000000000000000000000000001 +0 205 0001000000000001100110101111111111000000000000000000000000000001 +0 206 0001000000000001100110101111111111000000000000000000000000000001 +0 207 0001000000000001100110101111111111000000000000000000000000000001 +0 208 0001000000000001100110101111111111000000000000000000000000000001 +0 209 0001000000000001100110101111111111000000000000000000000000000001 +0 210 0001000000000001100110101111111111000000000000000000000000000001 +0 211 0001000000000001100110101111111111000000000000000000000000000001 +0 212 0001000000000001100110101111111111000000000000000000000000000001 +0 213 0001000000000001100110101111111111000000000000000000000000000001 +0 214 0001000000000001100110101111111111000000000000000000000000000001 +0 215 0001000000000001100110101111111111000000000000000000000000000001 +0 216 0001000000000001100110101111111111000000000000000000000000000001 +0 217 0001000000000001100110101111111111000000000000000000000000000001 +0 218 0001000000000001100110101111111111000000000000000000000000000001 +0 219 0001000000000001100110101111111111000000000000000000000000000001 +0 220 0001000000000001100110101111111111000000000000000000000000000001 +0 221 0001000000000001100110101111111111000000000000000000000000000001 +0 222 0001000000000001100110101111111111000000000000000000000000000001 +0 223 0001000000000001100110101111111111000000000000000000000000000001 +0 224 0001000000000001100110101111111111000000000000000000000000000001 +0 225 0001000000000001100110101111111111000000000000000000000000000001 +0 226 0001000000000001100110101111111111000000000000000000000000000001 +0 227 0001000000000001100110101111111111000000000000000000000000000001 +0 228 0001000000000001100110101111111111000000000000000000000000000001 +0 229 0001000000000001100110101111111111000000000000000000000000000001 +0 230 0001000000000001100110101111111111000000000000000000000000000001 +0 231 0001000000000001100110101111111111000000000000000000000000000001 +0 232 0001000000000001100110101111111111000000000000000000000000000001 +0 233 0001000000000001100110101111111111000000000000000000000000000001 +0 234 0001000000000001100110101111111111000000000000000000000000000001 +0 235 0001000000000001100110101111111111000000000000000000000000000001 +0 236 0001000000000001100110101111111111000000000000000000000000000001 +0 237 0001000000000001100110101111111111000000000000000000000000000001 +0 238 0001000000000001100110101111111111000000000000000000000000000001 +0 239 0001000000000001100110101111111111000000000000000000000000000001 +0 240 0001000000000001100110101111111111000000000000000000000000000001 +0 241 0001000000000001100110101111111111000000000000000000000000000001 +0 242 0001000000000001100110101111111111000000000000000000000000000001 +0 243 0001000000000001100110101111111111000000000000000000000000000001 +0 244 0001000000000001100110101111111111000000000000000000000000000001 +0 245 0001000000000001100110101111111111000000000000000000000000000001 +0 246 0001000000000001100110101111111111000000000000000000000000000001 +0 247 0001000000000001100110101111111111000000000000000000000000000001 +0 248 0001000000000001100110101111111111000000000000000000000000000001 +0 249 0001000000000001100110101111111111000000000000000000000000000001 +0 250 0001000000000001100110101111111111000000000000000000000000000001 +0 251 0001000000000001100110101111111111000000000000000000000000000001 +0 252 0001000000000001100110101111111111000000000000000000000000000001 +0 253 0001000000000001100110101111111111000000000000000000000000000001 +0 254 0001000000000001100110101111111111000000000000000000000000000001 +0 255 0001000000000001100110101111111111000000000000000000000000000001 +0 264 0001000000000000001000001111111111000000000000000000000000000001 +0 265 0001000000000000001000001111111111000000000000000000000000000001 +0 272 0001000000000001100110101111111111000000000000000000000000000001 +0 273 0001000000000001100110101111111111000000000000000000000000000001 +0 274 0001000000000001100110101111111111000000000000000000000000000001 +0 275 0001000000000001100110101111111111000000000000000000000000000001 +0 276 0001000000000001100110101111111111000000000000000000000000000001 +0 277 0001000000000001100110101111111111000000000000000000000000000001 +0 278 0001000000000001100110101111111111000000000000000000000000000001 +0 279 0001000000000001100110101111111111000000000000000000000000000001 +0 280 0001000000000001100110101111111111000000000000000000000000000001 +0 281 0001000000000001100110101111111111000000000000000000000000000001 +0 282 0001000000000001100110101111111111000000000000000000000000000001 +0 283 0001000000000001100110101111111111000000000000000000000000000001 +0 284 0001000000000001100110101111111111000000000000000000000000000001 +0 285 0001000000000001100110101111111111000000000000000000000000000001 +0 286 0001000000000001100110101111111111000000000000000000000000000001 +0 287 0001000000000001100110101111111111000000000000000000000000000001 +0 296 0001000000000000001000001111111111000000000000000000000000000001 +0 297 0001000000000000001000001111111111000000000000000000000000000001 +0 302 0001000000000010000011000100010001000000000000000000000000000001 +0 303 0001000000000010000011000100010001000000000000000000000000000001 +0 304 0001000000000001100110101111111111000000000000000000000000000001 +0 305 0001000000000001100110101111111111000000000000000000000000000001 +0 306 0001000000000001100110101111111111000000000000000000000000000001 +0 307 0001000000000001100110101111111111000000000000000000000000000001 +0 308 0001000000000001100110101111111111000000000000000000000000000001 +0 309 0001000000000001100110101111111111000000000000000000000000000001 +0 310 0001000000000001100110101111111111000000000000000000000000000001 +0 311 0001000000000001100110101111111111000000000000000000000000000001 +0 312 0001000000000001100110101111111111000000000000000000000000000001 +0 313 0001000000000001100110101111111111000000000000000000000000000001 +0 314 0001000000000001100110101111111111000000000000000000000000000001 +0 315 0001000000000001100110101111111111000000000000000000000000000001 +0 316 0001000000000001100110101111111111000000000000000000000000000001 +0 317 0001000000000001100110101111111111000000000000000000000000000001 +0 318 0001000000000001100110101111111111000000000000000000000000000001 +0 319 0001000000000001100110101111111111000000000000000000000000000001 +0 320 0001000000000010000000001111111111000000000000000000000000000001 +0 321 0001000000000010000000001111111111000000000000000000000000000001 +0 322 0001000000000010000000001111111111000000000000000000000000000001 +0 323 0001000000000010000000001111111111000000000000000000000000000001 +0 324 0001000000000010000000001111111111000000000000000000000000000001 +0 325 0001000000000010000000001111111111000000000000000000000000000001 +0 326 0001000000000010000000001111111111000000000000000000000000000001 +0 327 0001000000000010000000001111111111000000000000000000000000000001 +0 328 0001000000000000001000001111111111000000000000000000000000000001 +0 329 0001000000000000001000001111111111000000000000000000000000000001 +0 332 0001000000000010000000001111111111000000000000000000000000000001 +0 333 0001000000000010000000001111111111000000000000000000000000000001 +0 334 0001000000000010000000001111111111000000000000000000000000000001 +0 335 0001000000000010000000001111111111000000000000000000000000000001 +0 336 0001000000000001100110101111111111000000000000000000000000000001 +0 337 0001000000000001100110101111111111000000000000000000000000000001 +0 338 0001000000000001100110101111111111000000000000000000000000000001 +0 339 0001000000000001100110101111111111000000000000000000000000000001 +0 340 0001000000000001100110101111111111000000000000000000000000000001 +0 341 0001000000000001100110101111111111000000000000000000000000000001 +0 342 0001000000000001100110101111111111000000000000000000000000000001 +0 343 0001000000000001100110101111111111000000000000000000000000000001 +0 344 0001000000000001100110101111111111000000000000000000000000000001 +0 345 0001000000000001100110101111111111000000000000000000000000000001 +0 346 0001000000000001100110101111111111000000000000000000000000000001 +0 347 0001000000000001100110101111111111000000000000000000000000000001 +0 348 0001000000000001100110101111111111000000000000000000000000000001 +0 349 0001000000000001100110101111111111000000000000000000000000000001 +0 350 0001000000000001100110101111111111000000000000000000000000000001 +0 351 0001000000000001100110101111111111000000000000000000000000000001 +0 352 0001000000000010000000001111111111000000000000000000000000000001 +0 353 0001000000000010000000001111111111000000000000000000000000000001 +0 354 0001000000000010000000001111111111000000000000000000000000000001 +0 355 0001000000000010000000001111111111000000000000000000000000000001 +0 356 0001000000000010000000001111111111000000000000000000000000000001 +0 357 0001000000000010000000001111111111000000000000000000000000000001 +0 358 0001000000000010000000001111111111000000000000000000000000000001 +0 359 0001000000000010000000001111111111000000000000000000000000000001 +0 360 0001000000000000001000001111111111000000000000000000000000000001 +0 361 0001000000000000001000001111111111000000000000000000000000000001 +0 364 0001000000000010000000001111111111000000000000000000000000000001 +0 365 0001000000000010000000001111111111000000000000000000000000000001 +0 366 0001000000000010000000001111111111000000000000000000000000000001 +0 367 0001000000000010000000001111111111000000000000000000000000000001 +0 368 0001000000000001100110101111111111000000000000000000000000000001 +0 369 0001000000000001100110101111111111000000000000000000000000000001 +0 370 0001000000000001100110101111111111000000000000000000000000000001 +0 371 0001000000000001100110101111111111000000000000000000000000000001 +0 372 0001000000000001100110101111111111000000000000000000000000000001 +0 373 0001000000000001100110101111111111000000000000000000000000000001 +0 374 0001000000000001100110101111111111000000000000000000000000000001 +0 375 0001000000000001100110101111111111000000000000000000000000000001 +0 376 0001000000000001100110101111111111000000000000000000000000000001 +0 377 0001000000000001100110101111111111000000000000000000000000000001 +0 378 0001000000000001100110101111111111000000000000000000000000000001 +0 379 0001000000000001100110101111111111000000000000000000000000000001 +0 380 0001000000000001100110101111111111000000000000000000000000000001 +0 381 0001000000000001100110101111111111000000000000000000000000000001 +0 382 0001000000000001100110101111111111000000000000000000000000000001 +0 383 0001000000000001100110101111111111000000000000000000000000000001 +0 384 0001000000000001100110101111111111000000000000000000000000000001 +0 385 0001000000000001100110101111111111000000000000000000000000000001 +0 386 0001000000000001100110101111111111000000000000000000000000000001 +0 387 0001000000000001100110101111111111000000000000000000000000000001 +0 388 0001000000000001100110101111111111000000000000000000000000000001 +0 389 0001000000000001100110101111111111000000000000000000000000000001 +0 390 0001000000000001100110101111111111000000000000000000000000000001 +0 391 0001000000000001100110101111111111000000000000000000000000000001 +0 392 0001000000000001100110101111111111000000000000000000000000000001 +0 393 0001000000000001100110101111111111000000000000000000000000000001 +0 394 0001000000000001100110101111111111000000000000000000000000000001 +0 395 0001000000000001100110101111111111000000000000000000000000000001 +0 396 0001000000000001100110101111111111000000000000000000000000000001 +0 397 0001000000000001100110101111111111000000000000000000000000000001 +0 398 0001000000000001100110101111111111000000000000000000000000000001 +0 399 0001000000000001100110101111111111000000000000000000000000000001 +0 400 0001000000000001100110101111111111000000000000000000000000000001 +0 401 0001000000000001100110101111111111000000000000000000000000000001 +0 402 0001000000000001100110101111111111000000000000000000000000000001 +0 403 0001000000000001100110101111111111000000000000000000000000000001 +0 404 0001000000000001100110101111111111000000000000000000000000000001 +0 405 0001000000000001100110101111111111000000000000000000000000000001 +0 406 0001000000000001100110101111111111000000000000000000000000000001 +0 407 0001000000000001100110101111111111000000000000000000000000000001 +0 408 0001000000000001100110101111111111000000000000000000000000000001 +0 409 0001000000000001100110101111111111000000000000000000000000000001 +0 410 0001000000000001100110101111111111000000000000000000000000000001 +0 411 0001000000000001100110101111111111000000000000000000000000000001 +0 412 0001000000000001100110101111111111000000000000000000000000000001 +0 413 0001000000000001100110101111111111000000000000000000000000000001 +0 414 0001000000000001100110101111111111000000000000000000000000000001 +0 415 0001000000000001100110101111111111000000000000000000000000000001 +0 416 0001000000000010000000001111111111000000000000000000000000000001 +0 417 0001000000000010000000001111111111000000000000000000000000000001 +0 418 0001000000000010000000001111111111000000000000000000000000000001 +0 419 0001000000000010000000001111111111000000000000000000000000000001 +0 420 0001000000000010000000001111111111000000000000000000000000000001 +0 421 0001000000000010000000001111111111000000000000000000000000000001 +0 422 0001000000000010000000001111111111000000000000000000000000000001 +0 423 0001000000000010000000001111111111000000000000000000000000000001 +0 424 0001000000000010000000001111111111000000000000000000000000000001 +0 425 0001000000000010000000001111111111000000000000000000000000000001 +0 426 0001000000000010000000001111111111000000000000000000000000000001 +0 427 0001000000000010000000001111111111000000000000000000000000000001 +0 430 0001000000000010000011000100010001000000000000000000000000000001 +0 431 0001000000000010000011000100010001000000000000000000000000000001 +0 432 0001000000000001100110101111111111000000000000000000000000000001 +0 433 0001000000000001100110101111111111000000000000000000000000000001 +0 434 0001000000000001100110101111111111000000000000000000000000000001 +0 435 0001000000000001100110101111111111000000000000000000000000000001 +0 436 0001000000000001100110101111111111000000000000000000000000000001 +0 437 0001000000000001100110101111111111000000000000000000000000000001 +0 438 0001000000000001100110101111111111000000000000000000000000000001 +0 439 0001000000000001100110101111111111000000000000000000000000000001 +0 440 0001000000000001100110101111111111000000000000000000000000000001 +0 441 0001000000000001100110101111111111000000000000000000000000000001 +0 442 0001000000000001100110101111111111000000000000000000000000000001 +0 443 0001000000000001100110101111111111000000000000000000000000000001 +0 444 0001000000000001100110101111111111000000000000000000000000000001 +0 445 0001000000000001100110101111111111000000000000000000000000000001 +0 446 0001000000000001100110101111111111000000000000000000000000000001 +0 447 0001000000000001100110101111111111000000000000000000000000000001 +0 448 0001000000000001100110101111111111000000000000000000000000000001 +0 449 0001000000000001100110101111111111000000000000000000000000000001 +0 450 0001000000000001100110101111111111000000000000000000000000000001 +0 451 0001000000000001100110101111111111000000000000000000000000000001 +0 452 0001000000000001100110101111111111000000000000000000000000000001 +0 453 0001000000000001100110101111111111000000000000000000000000000001 +0 454 0001000000000001100110101111111111000000000000000000000000000001 +0 455 0001000000000001100110101111111111000000000000000000000000000001 +0 456 0001000000000001100110101111111111000000000000000000000000000001 +0 457 0001000000000001100110101111111111000000000000000000000000000001 +0 458 0001000000000001100110101111111111000000000000000000000000000001 +0 459 0001000000000001100110101111111111000000000000000000000000000001 +0 460 0001000000000001100110101111111111000000000000000000000000000001 +0 461 0001000000000001100110101111111111000000000000000000000000000001 +0 462 0001000000000001100110101111111111000000000000000000000000000001 +0 463 0001000000000001100110101111111111000000000000000000000000000001 +0 464 0001000000000001100110101111111111000000000000000000000000000001 +0 465 0001000000000001100110101111111111000000000000000000000000000001 +0 466 0001000000000001100110101111111111000000000000000000000000000001 +0 467 0001000000000001100110101111111111000000000000000000000000000001 +0 468 0001000000000001100110101111111111000000000000000000000000000001 +0 469 0001000000000001100110101111111111000000000000000000000000000001 +0 470 0001000000000001100110101111111111000000000000000000000000000001 +0 471 0001000000000001100110101111111111000000000000000000000000000001 +0 472 0001000000000001100110101111111111000000000000000000000000000001 +0 473 0001000000000001100110101111111111000000000000000000000000000001 +0 474 0001000000000001100110101111111111000000000000000000000000000001 +0 475 0001000000000001100110101111111111000000000000000000000000000001 +0 476 0001000000000001100110101111111111000000000000000000000000000001 +0 477 0001000000000001100110101111111111000000000000000000000000000001 +0 478 0001000000000001100110101111111111000000000000000000000000000001 +0 479 0001000000000001100110101111111111000000000000000000000000000001 +0 480 0001000000000001100110101111111111000000000000000000000000000001 +0 481 0001000000000001100110101111111111000000000000000000000000000001 +0 482 0001000000000001100110101111111111000000000000000000000000000001 +0 483 0001000000000001100110101111111111000000000000000000000000000001 +0 484 0001000000000001100110101111111111000000000000000000000000000001 +0 485 0001000000000001100110101111111111000000000000000000000000000001 +0 486 0001000000000001100110101111111111000000000000000000000000000001 +0 487 0001000000000001100110101111111111000000000000000000000000000001 +0 488 0001000000000001100110101111111111000000000000000000000000000001 +0 489 0001000000000001100110101111111111000000000000000000000000000001 +0 490 0001000000000001100110101111111111000000000000000000000000000001 +0 491 0001000000000001100110101111111111000000000000000000000000000001 +0 492 0001000000000001100110101111111111000000000000000000000000000001 +0 493 0001000000000001100110101111111111000000000000000000000000000001 +0 494 0001000000000001100110101111111111000000000000000000000000000001 +0 495 0001000000000001100110101111111111000000000000000000000000000001 +0 496 0001000000000001100110101111111111000000000000000000000000000001 +0 497 0001000000000001100110101111111111000000000000000000000000000001 +0 498 0001000000000001100110101111111111000000000000000000000000000001 +0 499 0001000000000001100110101111111111000000000000000000000000000001 +0 500 0001000000000001100110101111111111000000000000000000000000000001 +0 501 0001000000000001100110101111111111000000000000000000000000000001 +0 502 0001000000000001100110101111111111000000000000000000000000000001 +0 503 0001000000000001100110101111111111000000000000000000000000000001 +0 504 0001000000000001100110101111111111000000000000000000000000000001 +0 505 0001000000000001100110101111111111000000000000000000000000000001 +0 506 0001000000000001100110101111111111000000000000000000000000000001 +0 507 0001000000000001100110101111111111000000000000000000000000000001 +0 508 0001000000000001100110101111111111000000000000000000000000000001 +0 509 0001000000000001100110101111111111000000000000000000000000000001 +0 510 0001000000000001100110101111111111000000000000000000000000000001 +0 511 0001000000000001100110101111111111000000000000000000000000000001 +0 512 0001000000000001100110101111111111000000000000000000000000000001 +0 513 0001000000000001100110101111111111000000000000000000000000000001 +0 514 0001000000000001100110101111111111000000000000000000000000000001 +0 515 0001000000000001100110101111111111000000000000000000000000000001 +0 516 0001000000000001100110101111111111000000000000000000000000000001 +0 517 0001000000000001100110101111111111000000000000000000000000000001 +0 518 0001000000000001100110101111111111000000000000000000000000000001 +0 519 0001000000000001100110101111111111000000000000000000000000000001 +0 520 0001000000000001100110101111111111000000000000000000000000000001 +0 521 0001000000000001100110101111111111000000000000000000000000000001 +0 522 0001000000000001100110101111111111000000000000000000000000000001 +0 523 0001000000000001100110101111111111000000000000000000000000000001 +0 524 0001000000000001100110101111111111000000000000000000000000000001 +0 525 0001000000000001100110101111111111000000000000000000000000000001 +0 526 0001000000000001100110101111111111000000000000000000000000000001 +0 527 0001000000000001100110101111111111000000000000000000000000000001 +0 528 0001000000000001100110101111111111000000000000000000000000000001 +0 529 0001000000000001100110101111111111000000000000000000000000000001 +0 530 0001000000000001100110101111111111000000000000000000000000000001 +0 531 0001000000000001100110101111111111000000000000000000000000000001 +0 532 0001000000000001100110101111111111000000000000000000000000000001 +0 533 0001000000000001100110101111111111000000000000000000000000000001 +0 534 0001000000000001100110101111111111000000000000000000000000000001 +0 535 0001000000000001100110101111111111000000000000000000000000000001 +0 536 0001000000000001100110101111111111000000000000000000000000000001 +0 537 0001000000000001100110101111111111000000000000000000000000000001 +0 538 0001000000000001100110101111111111000000000000000000000000000001 +0 539 0001000000000001100110101111111111000000000000000000000000000001 +0 540 0001000000000001100110101111111111000000000000000000000000000001 +0 541 0001000000000001100110101111111111000000000000000000000000000001 +0 542 0001000000000001100110101111111111000000000000000000000000000001 +0 543 0001000000000001100110101111111111000000000000000000000000000001 +0 544 0001000000000001100110101111111111000000000000000000000000000001 +0 545 0001000000000001100110101111111111000000000000000000000000000001 +0 546 0001000000000001100110101111111111000000000000000000000000000001 +0 547 0001000000000001100110101111111111000000000000000000000000000001 +0 548 0001000000000001100110101111111111000000000000000000000000000001 +0 549 0001000000000001100110101111111111000000000000000000000000000001 +0 550 0001000000000001100110101111111111000000000000000000000000000001 +0 551 0001000000000001100110101111111111000000000000000000000000000001 +0 552 0001000000000001100110101111111111000000000000000000000000000001 +0 553 0001000000000001100110101111111111000000000000000000000000000001 +0 554 0001000000000001100110101111111111000000000000000000000000000001 +0 555 0001000000000001100110101111111111000000000000000000000000000001 +0 556 0001000000000001100110101111111111000000000000000000000000000001 +0 557 0001000000000001100110101111111111000000000000000000000000000001 +0 558 0001000000000001100110101111111111000000000000000000000000000001 +0 559 0001000000000001100110101111111111000000000000000000000000000001 +0 560 0001000000000001100110101111111111000000000000000000000000000001 +0 561 0001000000000001100110101111111111000000000000000000000000000001 +0 562 0001000000000001100110101111111111000000000000000000000000000001 +0 563 0001000000000001100110101111111111000000000000000000000000000001 +0 564 0001000000000001100110101111111111000000000000000000000000000001 +0 565 0001000000000001100110101111111111000000000000000000000000000001 +0 566 0001000000000001100110101111111111000000000000000000000000000001 +0 567 0001000000000001100110101111111111000000000000000000000000000001 +0 568 0001000000000001100110101111111111000000000000000000000000000001 +0 569 0001000000000001100110101111111111000000000000000000000000000001 +0 570 0001000000000001100110101111111111000000000000000000000000000001 +0 571 0001000000000001100110101111111111000000000000000000000000000001 +0 572 0001000000000001100110101111111111000000000000000000000000000001 +0 573 0001000000000001100110101111111111000000000000000000000000000001 +0 574 0001000000000001100110101111111111000000000000000000000000000001 +0 575 0001000000000001100110101111111111000000000000000000000000000001 +0 576 0001000000000001100110101111111111000000000000000000000000000001 +0 577 0001000000000001100110101111111111000000000000000000000000000001 +0 578 0001000000000001100110101111111111000000000000000000000000000001 +0 579 0001000000000001100110101111111111000000000000000000000000000001 +0 580 0001000000000001100110101111111111000000000000000000000000000001 +0 581 0001000000000001100110101111111111000000000000000000000000000001 +0 582 0001000000000001100110101111111111000000000000000000000000000001 +0 583 0001000000000001100110101111111111000000000000000000000000000001 +0 584 0001000000000001100110101111111111000000000000000000000000000001 +0 585 0001000000000001100110101111111111000000000000000000000000000001 +0 586 0001000000000001100110101111111111000000000000000000000000000001 +0 587 0001000000000001100110101111111111000000000000000000000000000001 +0 588 0001000000000001100110101111111111000000000000000000000000000001 +0 589 0001000000000001100110101111111111000000000000000000000000000001 +0 590 0001000000000001100110101111111111000000000000000000000000000001 +0 591 0001000000000001100110101111111111000000000000000000000000000001 +0 592 0001000000000001100110101111111111000000000000000000000000000001 +0 593 0001000000000001100110101111111111000000000000000000000000000001 +0 594 0001000000000001100110101111111111000000000000000000000000000001 +0 595 0001000000000001100110101111111111000000000000000000000000000001 +0 596 0001000000000001100110101111111111000000000000000000000000000001 +0 597 0001000000000001100110101111111111000000000000000000000000000001 +0 598 0001000000000001100110101111111111000000000000000000000000000001 +0 599 0001000000000001100110101111111111000000000000000000000000000001 +0 600 0001000000000001100110101111111111000000000000000000000000000001 +0 601 0001000000000001100110101111111111000000000000000000000000000001 +0 602 0001000000000001100110101111111111000000000000000000000000000001 +0 603 0001000000000001100110101111111111000000000000000000000000000001 +0 604 0001000000000001100110101111111111000000000000000000000000000001 +0 605 0001000000000001100110101111111111000000000000000000000000000001 +0 606 0001000000000001100110101111111111000000000000000000000000000001 +0 607 0001000000000001100110101111111111000000000000000000000000000001 +0 608 0001000000000001100110101111111111000000000000000000000000000001 +0 609 0001000000000001100110101111111111000000000000000000000000000001 +0 610 0001000000000001100110101111111111000000000000000000000000000001 +0 611 0001000000000001100110101111111111000000000000000000000000000001 +0 612 0001000000000001100110101111111111000000000000000000000000000001 +0 613 0001000000000001100110101111111111000000000000000000000000000001 +0 614 0001000000000001100110101111111111000000000000000000000000000001 +0 615 0001000000000001100110101111111111000000000000000000000000000001 +0 616 0001000000000001100110101111111111000000000000000000000000000001 +0 617 0001000000000001100110101111111111000000000000000000000000000001 +0 618 0001000000000001100110101111111111000000000000000000000000000001 +0 619 0001000000000001100110101111111111000000000000000000000000000001 +0 620 0001000000000001100110101111111111000000000000000000000000000001 +0 621 0001000000000001100110101111111111000000000000000000000000000001 +0 622 0001000000000001100110101111111111000000000000000000000000000001 +0 623 0001000000000001100110101111111111000000000000000000000000000001 +0 624 0001000000000001100110101111111111000000000000000000000000000001 +0 625 0001000000000001100110101111111111000000000000000000000000000001 +0 626 0001000000000001100110101111111111000000000000000000000000000001 +0 627 0001000000000001100110101111111111000000000000000000000000000001 +0 628 0001000000000001100110101111111111000000000000000000000000000001 +0 629 0001000000000001100110101111111111000000000000000000000000000001 +0 630 0001000000000001100110101111111111000000000000000000000000000001 +0 631 0001000000000001100110101111111111000000000000000000000000000001 +0 632 0001000000000001100110101111111111000000000000000000000000000001 +0 633 0001000000000001100110101111111111000000000000000000000000000001 +0 634 0001000000000001100110101111111111000000000000000000000000000001 +0 635 0001000000000001100110101111111111000000000000000000000000000001 +0 636 0001000000000001100110101111111111000000000000000000000000000001 +0 637 0001000000000001100110101111111111000000000000000000000000000001 +0 638 0001000000000001100110101111111111000000000000000000000000000001 +0 639 0001000000000001100110101111111111000000000000000000000000000001 +0 640 0001000000000001100110101111111111000000000000000000000000000001 +0 641 0001000000000001100110101111111111000000000000000000000000000001 +0 642 0001000000000001100110101111111111000000000000000000000000000001 +0 643 0001000000000001100110101111111111000000000000000000000000000001 +0 644 0001000000000001100110101111111111000000000000000000000000000001 +0 645 0001000000000001100110101111111111000000000000000000000000000001 +0 646 0001000000000001100110101111111111000000000000000000000000000001 +0 647 0001000000000001100110101111111111000000000000000000000000000001 +0 648 0001000000000001100110101111111111000000000000000000000000000001 +0 649 0001000000000001100110101111111111000000000000000000000000000001 +0 650 0001000000000001100110101111111111000000000000000000000000000001 +0 651 0001000000000001100110101111111111000000000000000000000000000001 +0 652 0001000000000001100110101111111111000000000000000000000000000001 +0 653 0001000000000001100110101111111111000000000000000000000000000001 +0 654 0001000000000001100110101111111111000000000000000000000000000001 +0 655 0001000000000001100110101111111111000000000000000000000000000001 +0 656 0001000000000001100110101111111111000000000000000000000000000001 +0 657 0001000000000001100110101111111111000000000000000000000000000001 +0 658 0001000000000001100110101111111111000000000000000000000000000001 +0 659 0001000000000001100110101111111111000000000000000000000000000001 +0 660 0001000000000001100110101111111111000000000000000000000000000001 +0 661 0001000000000001100110101111111111000000000000000000000000000001 +0 662 0001000000000001100110101111111111000000000000000000000000000001 +0 663 0001000000000001100110101111111111000000000000000000000000000001 +0 664 0001000000000001100110101111111111000000000000000000000000000001 +0 665 0001000000000001100110101111111111000000000000000000000000000001 +0 666 0001000000000001100110101111111111000000000000000000000000000001 +0 667 0001000000000001100110101111111111000000000000000000000000000001 +0 668 0001000000000001100110101111111111000000000000000000000000000001 +0 669 0001000000000001100110101111111111000000000000000000000000000001 +0 670 0001000000000001100110101111111111000000000000000000000000000001 +0 671 0001000000000001100110101111111111000000000000000000000000000001 +0 672 0001000000000001100110101111111111000000000000000000000000000001 +0 673 0001000000000001100110101111111111000000000000000000000000000001 +0 674 0001000000000001100110101111111111000000000000000000000000000001 +0 675 0001000000000001100110101111111111000000000000000000000000000001 +0 676 0001000000000001100110101111111111000000000000000000000000000001 +0 677 0001000000000001100110101111111111000000000000000000000000000001 +0 678 0001000000000001100110101111111111000000000000000000000000000001 +0 679 0001000000000001100110101111111111000000000000000000000000000001 +0 680 0001000000000001100110101111111111000000000000000000000000000001 +0 681 0001000000000001100110101111111111000000000000000000000000000001 +0 682 0001000000000001100110101111111111000000000000000000000000000001 +0 683 0001000000000001100110101111111111000000000000000000000000000001 +0 684 0001000000000001100110101111111111000000000000000000000000000001 +0 685 0001000000000001100110101111111111000000000000000000000000000001 +0 686 0001000000000001100110101111111111000000000000000000000000000001 +0 687 0001000000000001100110101111111111000000000000000000000000000001 +0 688 0001000000000001100110101111111111000000000000000000000000000001 +0 689 0001000000000001100110101111111111000000000000000000000000000001 +0 690 0001000000000001100110101111111111000000000000000000000000000001 +0 691 0001000000000001100110101111111111000000000000000000000000000001 +0 692 0001000000000001100110101111111111000000000000000000000000000001 +0 693 0001000000000001100110101111111111000000000000000000000000000001 +0 694 0001000000000001100110101111111111000000000000000000000000000001 +0 695 0001000000000001100110101111111111000000000000000000000000000001 +0 696 0001000000000001100110101111111111000000000000000000000000000001 +0 697 0001000000000001100110101111111111000000000000000000000000000001 +0 698 0001000000000001100110101111111111000000000000000000000000000001 +0 699 0001000000000001100110101111111111000000000000000000000000000001 +0 700 0001000000000001100110101111111111000000000000000000000000000001 +0 701 0001000000000001100110101111111111000000000000000000000000000001 +0 702 0001000000000001100110101111111111000000000000000000000000000001 +0 703 0001000000000001100110101111111111000000000000000000000000000001 +0 704 0001000000000001100110101111111111000000000000000000000000000001 +0 705 0001000000000001100110101111111111000000000000000000000000000001 +0 706 0001000000000001100110101111111111000000000000000000000000000001 +0 707 0001000000000001100110101111111111000000000000000000000000000001 +0 708 0001000000000001100110101111111111000000000000000000000000000001 +0 709 0001000000000001100110101111111111000000000000000000000000000001 +0 710 0001000000000001100110101111111111000000000000000000000000000001 +0 711 0001000000000001100110101111111111000000000000000000000000000001 +0 712 0001000000000001100110101111111111000000000000000000000000000001 +0 713 0001000000000001100110101111111111000000000000000000000000000001 +0 714 0001000000000001100110101111111111000000000000000000000000000001 +0 715 0001000000000001100110101111111111000000000000000000000000000001 +0 716 0001000000000001100110101111111111000000000000000000000000000001 +0 717 0001000000000001100110101111111111000000000000000000000000000001 +0 718 0001000000000001100110101111111111000000000000000000000000000001 +0 719 0001000000000001100110101111111111000000000000000000000000000001 +0 720 0001000000000001100110101111111111000000000000000000000000000001 +0 721 0001000000000001100110101111111111000000000000000000000000000001 +0 722 0001000000000001100110101111111111000000000000000000000000000001 +0 723 0001000000000001100110101111111111000000000000000000000000000001 +0 724 0001000000000001100110101111111111000000000000000000000000000001 +0 725 0001000000000001100110101111111111000000000000000000000000000001 +0 726 0001000000000001100110101111111111000000000000000000000000000001 +0 727 0001000000000001100110101111111111000000000000000000000000000001 +0 728 0001000000000001100110101111111111000000000000000000000000000001 +0 729 0001000000000001100110101111111111000000000000000000000000000001 +0 730 0001000000000001100110101111111111000000000000000000000000000001 +0 731 0001000000000001100110101111111111000000000000000000000000000001 +0 732 0001000000000001100110101111111111000000000000000000000000000001 +0 733 0001000000000001100110101111111111000000000000000000000000000001 +0 734 0001000000000001100110101111111111000000000000000000000000000001 +0 735 0001000000000001100110101111111111000000000000000000000000000001 +0 736 0001000000000001100110101111111111000000000000000000000000000001 +0 737 0001000000000001100110101111111111000000000000000000000000000001 +0 738 0001000000000001100110101111111111000000000000000000000000000001 +0 739 0001000000000001100110101111111111000000000000000000000000000001 +0 740 0001000000000001100110101111111111000000000000000000000000000001 +0 741 0001000000000001100110101111111111000000000000000000000000000001 +0 742 0001000000000001100110101111111111000000000000000000000000000001 +0 743 0001000000000001100110101111111111000000000000000000000000000001 +0 744 0001000000000001100110101111111111000000000000000000000000000001 +0 745 0001000000000001100110101111111111000000000000000000000000000001 +0 746 0001000000000001100110101111111111000000000000000000000000000001 +0 747 0001000000000001100110101111111111000000000000000000000000000001 +0 748 0001000000000001100110101111111111000000000000000000000000000001 +0 749 0001000000000001100110101111111111000000000000000000000000000001 +0 750 0001000000000001100110101111111111000000000000000000000000000001 +0 751 0001000000000001100110101111111111000000000000000000000000000001 +0 752 0001000000000001100110101111111111000000000000000000000000000001 +0 753 0001000000000001100110101111111111000000000000000000000000000001 +0 754 0001000000000001100110101111111111000000000000000000000000000001 +0 755 0001000000000001100110101111111111000000000000000000000000000001 +0 756 0001000000000001100110101111111111000000000000000000000000000001 +0 757 0001000000000001100110101111111111000000000000000000000000000001 +0 758 0001000000000001100110101111111111000000000000000000000000000001 +0 759 0001000000000001100110101111111111000000000000000000000000000001 +0 760 0001000000000001100110101111111111000000000000000000000000000001 +0 761 0001000000000001100110101111111111000000000000000000000000000001 +0 762 0001000000000001100110101111111111000000000000000000000000000001 +0 763 0001000000000001100110101111111111000000000000000000000000000001 +0 764 0001000000000001100110101111111111000000000000000000000000000001 +0 765 0001000000000001100110101111111111000000000000000000000000000001 +0 766 0001000000000001100110101111111111000000000000000000000000000001 +0 767 0001000000000001100110101111111111000000000000000000000000000001 +0 768 0001000000000010000000001111111111000000000000000000000000000001 +0 769 0001000000000010000000001111111111000000000000000000000000000001 +0 770 0001000000000010000000001111111111000000000000000000000000000001 +0 771 0001000000000010000000001111111111000000000000000000000000000001 +0 772 0001000000000010000000001111111111000000000000000000000000000001 +0 773 0001000000000010000000001111111111000000000000000000000000000001 +0 774 0001000000000010000000001111111111000000000000000000000000000001 +0 775 0001000000000010000000001111111111000000000000000000000000000001 +0 776 0001000000000000001000001111111111000000000000000000000000000001 +0 777 0001000000000000001000001111111111000000000000000000000000000001 +0 780 0001000000000010000000001111111111000000000000000000000000000001 +0 781 0001000000000010000000001111111111000000000000000000000000000001 +0 782 0001000000000010000000001111111111000000000000000000000000000001 +0 783 0001000000000010000000001111111111000000000000000000000000000001 +0 784 0001000000000001100110101111111111000000000000000000000000000001 +0 785 0001000000000001100110101111111111000000000000000000000000000001 +0 786 0001000000000001100110101111111111000000000000000000000000000001 +0 787 0001000000000001100110101111111111000000000000000000000000000001 +0 788 0001000000000001100110101111111111000000000000000000000000000001 +0 789 0001000000000001100110101111111111000000000000000000000000000001 +0 790 0001000000000001100110101111111111000000000000000000000000000001 +0 791 0001000000000001100110101111111111000000000000000000000000000001 +0 792 0001000000000001100110101111111111000000000000000000000000000001 +0 793 0001000000000001100110101111111111000000000000000000000000000001 +0 794 0001000000000001100110101111111111000000000000000000000000000001 +0 795 0001000000000001100110101111111111000000000000000000000000000001 +0 796 0001000000000001100110101111111111000000000000000000000000000001 +0 797 0001000000000001100110101111111111000000000000000000000000000001 +0 798 0001000000000001100110101111111111000000000000000000000000000001 +0 799 0001000000000001100110101111111111000000000000000000000000000001 +0 800 0001000000000010000000001111111111000000000000000000000000000001 +0 801 0001000000000010000000001111111111000000000000000000000000000001 +0 802 0001000000000010000000001111111111000000000000000000000000000001 +0 803 0001000000000010000000001111111111000000000000000000000000000001 +0 804 0001000000000010000000001111111111000000000000000000000000000001 +0 805 0001000000000010000000001111111111000000000000000000000000000001 +0 806 0001000000000010000000001111111111000000000000000000000000000001 +0 807 0001000000000010000000001111111111000000000000000000000000000001 +0 808 0001000000000000001000001111111111000000000000000000000000000001 +0 809 0001000000000000001000001111111111000000000000000000000000000001 +0 812 0001000000000010000000001111111111000000000000000000000000000001 +0 813 0001000000000010000000001111111111000000000000000000000000000001 +0 814 0001000000000010000000001111111111000000000000000000000000000001 +0 815 0001000000000010000000001111111111000000000000000000000000000001 +0 816 0001000000000001100110101111111111000000000000000000000000000001 +0 817 0001000000000001100110101111111111000000000000000000000000000001 +0 818 0001000000000001100110101111111111000000000000000000000000000001 +0 819 0001000000000001100110101111111111000000000000000000000000000001 +0 820 0001000000000001100110101111111111000000000000000000000000000001 +0 821 0001000000000001100110101111111111000000000000000000000000000001 +0 822 0001000000000001100110101111111111000000000000000000000000000001 +0 823 0001000000000001100110101111111111000000000000000000000000000001 +0 824 0001000000000001100110101111111111000000000000000000000000000001 +0 825 0001000000000001100110101111111111000000000000000000000000000001 +0 826 0001000000000001100110101111111111000000000000000000000000000001 +0 827 0001000000000001100110101111111111000000000000000000000000000001 +0 828 0001000000000001100110101111111111000000000000000000000000000001 +0 829 0001000000000001100110101111111111000000000000000000000000000001 +0 830 0001000000000001100110101111111111000000000000000000000000000001 +0 831 0001000000000001100110101111111111000000000000000000000000000001 +0 832 0001000000000010000000001111111111000000000000000000000000000001 +0 833 0001000000000010000000001111111111000000000000000000000000000001 +0 834 0001000000000010000000001111111111000000000000000000000000000001 +0 835 0001000000000010000000001111111111000000000000000000000000000001 +0 836 0001000000000010000000001111111111000000000000000000000000000001 +0 837 0001000000000010000000001111111111000000000000000000000000000001 +0 838 0001000000000010000000001111111111000000000000000000000000000001 +0 839 0001000000000010000000001111111111000000000000000000000000000001 +0 840 0001000000000000001000001111111111000000000000000000000000000001 +0 841 0001000000000000001000001111111111000000000000000000000000000001 +0 844 0001000000000010000000001111111111000000000000000000000000000001 +0 845 0001000000000010000000001111111111000000000000000000000000000001 +0 846 0001000000000010000000001111111111000000000000000000000000000001 +0 847 0001000000000010000000001111111111000000000000000000000000000001 +0 848 0001000000000001100110101111111111000000000000000000000000000001 +0 849 0001000000000001100110101111111111000000000000000000000000000001 +0 850 0001000000000001100110101111111111000000000000000000000000000001 +0 851 0001000000000001100110101111111111000000000000000000000000000001 +0 852 0001000000000001100110101111111111000000000000000000000000000001 +0 853 0001000000000001100110101111111111000000000000000000000000000001 +0 854 0001000000000001100110101111111111000000000000000000000000000001 +0 855 0001000000000001100110101111111111000000000000000000000000000001 +0 856 0001000000000001100110101111111111000000000000000000000000000001 +0 857 0001000000000001100110101111111111000000000000000000000000000001 +0 858 0001000000000001100110101111111111000000000000000000000000000001 +0 859 0001000000000001100110101111111111000000000000000000000000000001 +0 860 0001000000000001100110101111111111000000000000000000000000000001 +0 861 0001000000000001100110101111111111000000000000000000000000000001 +0 862 0001000000000001100110101111111111000000000000000000000000000001 +0 863 0001000000000001100110101111111111000000000000000000000000000001 +0 864 0001000000000010000000001111111111000000000000000000000000000001 +0 865 0001000000000010000000001111111111000000000000000000000000000001 +0 866 0001000000000010000000001111111111000000000000000000000000000001 +0 867 0001000000000010000000001111111111000000000000000000000000000001 +0 868 0001000000000010000000001111111111000000000000000000000000000001 +0 869 0001000000000010000000001111111111000000000000000000000000000001 +0 870 0001000000000010000000001111111111000000000000000000000000000001 +0 871 0001000000000010000000001111111111000000000000000000000000000001 +0 872 0001000000000000001000001111111111000000000000000000000000000001 +0 873 0001000000000000001000001111111111000000000000000000000000000001 +0 876 0001000000000010000000001111111111000000000000000000000000000001 +0 877 0001000000000010000000001111111111000000000000000000000000000001 +0 878 0001000000000010000000001111111111000000000000000000000000000001 +0 879 0001000000000010000000001111111111000000000000000000000000000001 +0 880 0001000000000001100110101111111111000000000000000000000000000001 +0 881 0001000000000001100110101111111111000000000000000000000000000001 +0 882 0001000000000001100110101111111111000000000000000000000000000001 +0 883 0001000000000001100110101111111111000000000000000000000000000001 +0 884 0001000000000001100110101111111111000000000000000000000000000001 +0 885 0001000000000001100110101111111111000000000000000000000000000001 +0 886 0001000000000001100110101111111111000000000000000000000000000001 +0 887 0001000000000001100110101111111111000000000000000000000000000001 +0 888 0001000000000001100110101111111111000000000000000000000000000001 +0 889 0001000000000001100110101111111111000000000000000000000000000001 +0 890 0001000000000001100110101111111111000000000000000000000000000001 +0 891 0001000000000001100110101111111111000000000000000000000000000001 +0 892 0001000000000001100110101111111111000000000000000000000000000001 +0 893 0001000000000001100110101111111111000000000000000000000000000001 +0 894 0001000000000001100110101111111111000000000000000000000000000001 +0 895 0001000000000001100110101111111111000000000000000000000000000001 +0 896 0001000000000001100110101111111111000000000000000000000000000001 +0 897 0001000000000001100110101111111111000000000000000000000000000001 +0 898 0001000000000001100110101111111111000000000000000000000000000001 +0 899 0001000000000001100110101111111111000000000000000000000000000001 +0 900 0001000000000001100110101111111111000000000000000000000000000001 +0 901 0001000000000001100110101111111111000000000000000000000000000001 +0 902 0001000000000001100110101111111111000000000000000000000000000001 +0 903 0001000000000001100110101111111111000000000000000000000000000001 +0 904 0001000000000001100110101111111111000000000000000000000000000001 +0 905 0001000000000001100110101111111111000000000000000000000000000001 +0 906 0001000000000001100110101111111111000000000000000000000000000001 +0 907 0001000000000001100110101111111111000000000000000000000000000001 +0 908 0001000000000001100110101111111111000000000000000000000000000001 +0 909 0001000000000001100110101111111111000000000000000000000000000001 +0 910 0001000000000001100110101111111111000000000000000000000000000001 +0 911 0001000000000001100110101111111111000000000000000000000000000001 +0 912 0001000000000001100110101111111111000000000000000000000000000001 +0 913 0001000000000001100110101111111111000000000000000000000000000001 +0 914 0001000000000001100110101111111111000000000000000000000000000001 +0 915 0001000000000001100110101111111111000000000000000000000000000001 +0 916 0001000000000001100110101111111111000000000000000000000000000001 +0 917 0001000000000001100110101111111111000000000000000000000000000001 +0 918 0001000000000001100110101111111111000000000000000000000000000001 +0 919 0001000000000001100110101111111111000000000000000000000000000001 +0 920 0001000000000001100110101111111111000000000000000000000000000001 +0 921 0001000000000001100110101111111111000000000000000000000000000001 +0 922 0001000000000001100110101111111111000000000000000000000000000001 +0 923 0001000000000001100110101111111111000000000000000000000000000001 +0 924 0001000000000001100110101111111111000000000000000000000000000001 +0 925 0001000000000001100110101111111111000000000000000000000000000001 +0 926 0001000000000001100110101111111111000000000000000000000000000001 +0 927 0001000000000001100110101111111111000000000000000000000000000001 +0 928 0001000000000001100110101111111111000000000000000000000000000001 +0 929 0001000000000001100110101111111111000000000000000000000000000001 +0 930 0001000000000001100110101111111111000000000000000000000000000001 +0 931 0001000000000001100110101111111111000000000000000000000000000001 +0 932 0001000000000001100110101111111111000000000000000000000000000001 +0 933 0001000000000001100110101111111111000000000000000000000000000001 +0 934 0001000000000001100110101111111111000000000000000000000000000001 +0 935 0001000000000001100110101111111111000000000000000000000000000001 +0 936 0001000000000001100110101111111111000000000000000000000000000001 +0 937 0001000000000001100110101111111111000000000000000000000000000001 +0 938 0001000000000001100110101111111111000000000000000000000000000001 +0 939 0001000000000001100110101111111111000000000000000000000000000001 +0 940 0001000000000001100110101111111111000000000000000000000000000001 +0 941 0001000000000001100110101111111111000000000000000000000000000001 +0 942 0001000000000001100110101111111111000000000000000000000000000001 +0 943 0001000000000001100110101111111111000000000000000000000000000001 +0 944 0001000000000001100110101111111111000000000000000000000000000001 +0 945 0001000000000001100110101111111111000000000000000000000000000001 +0 946 0001000000000001100110101111111111000000000000000000000000000001 +0 947 0001000000000001100110101111111111000000000000000000000000000001 +0 948 0001000000000001100110101111111111000000000000000000000000000001 +0 949 0001000000000001100110101111111111000000000000000000000000000001 +0 950 0001000000000001100110101111111111000000000000000000000000000001 +0 951 0001000000000001100110101111111111000000000000000000000000000001 +0 952 0001000000000001100110101111111111000000000000000000000000000001 +0 953 0001000000000001100110101111111111000000000000000000000000000001 +0 954 0001000000000001100110101111111111000000000000000000000000000001 +0 955 0001000000000001100110101111111111000000000000000000000000000001 +0 956 0001000000000001100110101111111111000000000000000000000000000001 +0 957 0001000000000001100110101111111111000000000000000000000000000001 +0 958 0001000000000001100110101111111111000000000000000000000000000001 +0 959 0001000000000001100110101111111111000000000000000000000000000001 +0 960 0001000000000001100110101111111111000000000000000000000000000001 +0 961 0001000000000001100110101111111111000000000000000000000000000001 +0 962 0001000000000001100110101111111111000000000000000000000000000001 +0 963 0001000000000001100110101111111111000000000000000000000000000001 +0 964 0001000000000001100110101111111111000000000000000000000000000001 +0 965 0001000000000001100110101111111111000000000000000000000000000001 +0 966 0001000000000001100110101111111111000000000000000000000000000001 +0 967 0001000000000001100110101111111111000000000000000000000000000001 +0 968 0001000000000001100110101111111111000000000000000000000000000001 +0 969 0001000000000001100110101111111111000000000000000000000000000001 +0 970 0001000000000001100110101111111111000000000000000000000000000001 +0 971 0001000000000001100110101111111111000000000000000000000000000001 +0 972 0001000000000001100110101111111111000000000000000000000000000001 +0 973 0001000000000001100110101111111111000000000000000000000000000001 +0 974 0001000000000001100110101111111111000000000000000000000000000001 +0 975 0001000000000001100110101111111111000000000000000000000000000001 +0 976 0001000000000001100110101111111111000000000000000000000000000001 +0 977 0001000000000001100110101111111111000000000000000000000000000001 +0 978 0001000000000001100110101111111111000000000000000000000000000001 +0 979 0001000000000001100110101111111111000000000000000000000000000001 +0 980 0001000000000001100110101111111111000000000000000000000000000001 +0 981 0001000000000001100110101111111111000000000000000000000000000001 +0 982 0001000000000001100110101111111111000000000000000000000000000001 +0 983 0001000000000001100110101111111111000000000000000000000000000001 +0 984 0001000000000001100110101111111111000000000000000000000000000001 +0 985 0001000000000001100110101111111111000000000000000000000000000001 +0 986 0001000000000001100110101111111111000000000000000000000000000001 +0 987 0001000000000001100110101111111111000000000000000000000000000001 +0 988 0001000000000001100110101111111111000000000000000000000000000001 +0 989 0001000000000001100110101111111111000000000000000000000000000001 +0 990 0001000000000001100110101111111111000000000000000000000000000001 +0 991 0001000000000001100110101111111111000000000000000000000000000001 +0 992 0001000000000001100110101111111111000000000000000000000000000001 +0 993 0001000000000001100110101111111111000000000000000000000000000001 +0 994 0001000000000001100110101111111111000000000000000000000000000001 +0 995 0001000000000001100110101111111111000000000000000000000000000001 +0 996 0001000000000001100110101111111111000000000000000000000000000001 +0 997 0001000000000001100110101111111111000000000000000000000000000001 +0 998 0001000000000001100110101111111111000000000000000000000000000001 +0 999 0001000000000001100110101111111111000000000000000000000000000001 +0 1000 0001000000000001100110101111111111000000000000000000000000000001 +0 1001 0001000000000001100110101111111111000000000000000000000000000001 +0 1002 0001000000000001100110101111111111000000000000000000000000000001 +0 1003 0001000000000001100110101111111111000000000000000000000000000001 +0 1004 0001000000000001100110101111111111000000000000000000000000000001 +0 1005 0001000000000001100110101111111111000000000000000000000000000001 +0 1006 0001000000000001100110101111111111000000000000000000000000000001 +0 1007 0001000000000001100110101111111111000000000000000000000000000001 +0 1008 0001000000000001100110101111111111000000000000000000000000000001 +0 1009 0001000000000001100110101111111111000000000000000000000000000001 +0 1010 0001000000000001100110101111111111000000000000000000000000000001 +0 1011 0001000000000001100110101111111111000000000000000000000000000001 +0 1012 0001000000000001100110101111111111000000000000000000000000000001 +0 1013 0001000000000001100110101111111111000000000000000000000000000001 +0 1014 0001000000000001100110101111111111000000000000000000000000000001 +0 1015 0001000000000001100110101111111111000000000000000000000000000001 +0 1016 0001000000000001100110101111111111000000000000000000000000000001 +0 1017 0001000000000001100110101111111111000000000000000000000000000001 +0 1018 0001000000000001100110101111111111000000000000000000000000000001 +0 1019 0001000000000001100110101111111111000000000000000000000000000001 +0 1020 0001000000000001100110101111111111000000000000000000000000000001 +0 1021 0001000000000001100110101111111111000000000000000000000000000001 +0 1022 0001000000000001100110101111111111000000000000000000000000000001 +0 1023 0001000000000001100110101111111111000000000000000000000000000001 +0 44 0001000000000001100110101111111111000000000000000000000000000001 +0 45 0001000000000001100110101111111111000000000000000000000000000001 +0 172 0001000000000001100110101111111111000000000000000000000000000001 +0 173 0001000000000001100110101111111111000000000000000000000000000001 +0 256 0001000000000001100110101111111111000000000000000000000000000001 +0 257 0001000000000001100110101111111111000000000000000000000000000001 +0 258 0001000000000001100110101111111111000000000000000000000000000001 +0 259 0001000000000001100110101111111111000000000000000000000000000001 +0 260 0001000000000001100110101111111111000000000000000000000000000001 +0 261 0001000000000001100110101111111111000000000000000000000000000001 +0 262 0001000000000001100110101111111111000000000000000000000000000001 +0 263 0001000000000001100110101111111111000000000000000000000000000001 +0 266 0001000000000001100110101111111111000000000000000000000000000001 +0 267 0001000000000001100110101111111111000000000000000000000000000001 +0 268 0001000000000001100110101111111111000000000000000000000000000001 +0 269 0001000000000001100110101111111111000000000000000000000000000001 +0 270 0001000000000001100110101111111111000000000000000000000000000001 +0 271 0001000000000001100110101111111111000000000000000000000000000001 +0 288 0001000000000001100110101111111111000000000000000000000000000001 +0 289 0001000000000001100110101111111111000000000000000000000000000001 +0 290 0001000000000001100110101111111111000000000000000000000000000001 +0 291 0001000000000001100110101111111111000000000000000000000000000001 +0 292 0001000000000001100110101111111111000000000000000000000000000001 +0 293 0001000000000001100110101111111111000000000000000000000000000001 +0 294 0001000000000001100110101111111111000000000000000000000000000001 +0 295 0001000000000001100110101111111111000000000000000000000000000001 +0 298 0001000000000001100110101111111111000000000000000000000000000001 +0 299 0001000000000001100110101111111111000000000000000000000000000001 +0 300 0001000000000001100110101111111111000000000000000000000000000001 +0 301 0001000000000001100110101111111111000000000000000000000000000001 +0 330 0001000000000001100110101111111111000000000000000000000000000001 +0 331 0001000000000001100110101111111111000000000000000000000000000001 +0 362 0001000000000001100110101111111111000000000000000000000000000001 +0 363 0001000000000001100110101111111111000000000000000000000000000001 +0 428 0001000000000001100110101111111111000000000000000000000000000001 +0 429 0001000000000001100110101111111111000000000000000000000000000001 +0 778 0001000000000001100110101111111111000000000000000000000000000001 +0 779 0001000000000001100110101111111111000000000000000000000000000001 +0 810 0001000000000001100110101111111111000000000000000000000000000001 +0 811 0001000000000001100110101111111111000000000000000000000000000001 +0 842 0001000000000001100110101111111111000000000000000000000000000001 +0 843 0001000000000001100110101111111111000000000000000000000000000001 +0 874 0001000000000001100110101111111111000000000000000000000000000001 +0 875 0001000000000001100110101111111111000000000000000000000000000001 +0 0 0001000000000010000000001111111111000000000000000000000000000001 +0 1 0001000000000010000000001111111111000000000000000000000000000001 +0 2 0001000000000010000000001111111111000000000000000000000000000001 +0 3 0001000000000010000000001111111111000000000000000000000000000001 +0 4 0001000000000010000000001111111111000000000000000000000000000001 +0 5 0001000000000010000000001111111111000000000000000000000000000001 +0 6 0001000000000010000000001111111111000000000000000000000000000001 +0 7 0001000000000010000000001111111111000000000000000000000000000001 +0 8 0001000000000010000000001111111111000000000000000000000000000001 +0 9 0001000000000010000000001111111111000000000000000000000000000001 +0 10 0001000000000010000000001111111111000000000000000000000000000001 +0 11 0001000000000010000000001111111111000000000000000000000000000001 +0 12 0001000000000010000000001111111111000000000000000000000000000001 +0 13 0001000000000010000000001111111111000000000000000000000000000001 +0 14 0001000000000010000000001111111111000000000000000000000000000001 +0 15 0001000000000010000000001111111111000000000000000000000000000001 +0 16 0001000000000010000000001111111111000000000000000000000000000001 +0 17 0001000000000010000000001111111111000000000000000000000000000001 +0 18 0001000000000010000000001111111111000000000000000000000000000001 +0 19 0001000000000010000000001111111111000000000000000000000000000001 +0 20 0001000000000010000000001111111111000000000000000000000000000001 +0 21 0001000000000010000000001111111111000000000000000000000000000001 +0 22 0001000000000010000000001111111111000000000000000000000000000001 +0 23 0001000000000010000000001111111111000000000000000000000000000001 +0 24 0001000000000010000000001111111111000000000000000000000000000001 +0 25 0001000000000010000000001111111111000000000000000000000000000001 +0 26 0001000000000010000000001111111111000000000000000000000000000001 +0 27 0001000000000010000000001111111111000000000000000000000000000001 +0 28 0001000000000010000000001111111111000000000000000000000000000001 +0 29 0001000000000010000000001111111111000000000000000000000000000001 +0 30 0001000000000010000000001111111111000000000000000000000000000001 +0 31 0001000000000010000000001111111111000000000000000000000000000001 +0 32 0001000000000001100110101111111111000000000000000000000000000001 +0 33 0001000000000001100110101111111111000000000000000000000000000001 +0 34 0001000000000001100110101111111111000000000000000000000000000001 +0 35 0001000000000001100110101111111111000000000000000000000000000001 +0 36 0001000000000001100110101111111111000000000000000000000000000001 +0 37 0001000000000001100110101111111111000000000000000000000000000001 +0 38 0001000000000001100110101111111111000000000000000000000000000001 +0 39 0001000000000001100110101111111111000000000000000000000000000001 +0 40 0001000000000001100110101111111111000000000000000000000000000001 +0 41 0001000000000001100110101111111111000000000000000000000000000001 +0 42 0001000000000001100110101111111111000000000000000000000000000001 +0 43 0001000000000001100110101111111111000000000000000000000000000001 +0 46 0001000000000001100110101111111111000000000000000000000000000001 +0 47 0001000000000001100110101111111111000000000000000000000000000001 +0 48 0001000000000010000000001111111111000000000000000000000000000001 +0 49 0001000000000010000000001111111111000000000000000000000000000001 +0 50 0001000000000010000000001111111111000000000000000000000000000001 +0 51 0001000000000010000000001111111111000000000000000000000000000001 +0 52 0001000000000010000000001111111111000000000000000000000000000001 +0 53 0001000000000010000000001111111111000000000000000000000000000001 +0 54 0001000000000010000000001111111111000000000000000000000000000001 +0 55 0001000000000010000000001111111111000000000000000000000000000001 +0 56 0001000000000010000000001111111111000000000000000000000000000001 +0 57 0001000000000010000000001111111111000000000000000000000000000001 +0 58 0001000000000010000000001111111111000000000000000000000000000001 +0 59 0001000000000010000000001111111111000000000000000000000000000001 +0 60 0001000000000010000000001111111111000000000000000000000000000001 +0 61 0001000000000010000000001111111111000000000000000000000000000001 +0 62 0001000000000010000000001111111111000000000000000000000000000001 +0 63 0001000000000010000000001111111111000000000000000000000000000001 +0 64 0001000000000010000000001111111111000000000000000000000000000001 +0 65 0001000000000010000000001111111111000000000000000000000000000001 +0 66 0001000000000010000000001111111111000000000000000000000000000001 +0 67 0001000000000010000000001111111111000000000000000000000000000001 +0 68 0001000000000010000000001111111111000000000000000000000000000001 +0 69 0001000000000010000000001111111111000000000000000000000000000001 +0 70 0001000000000010000000001111111111000000000000000000000000000001 +0 71 0001000000000010000000001111111111000000000000000000000000000001 +0 72 0001000000000010000000001111111111000000000000000000000000000001 +0 73 0001000000000010000000001111111111000000000000000000000000000001 +0 74 0001000000000010000000001111111111000000000000000000000000000001 +0 75 0001000000000010000000001111111111000000000000000000000000000001 +0 76 0001000000000010000000001111111111000000000000000000000000000001 +0 77 0001000000000010000000001111111111000000000000000000000000000001 +0 78 0001000000000010000000001111111111000000000000000000000000000001 +0 79 0001000000000010000000001111111111000000000000000000000000000001 +0 80 0001000000000010000000001111111111000000000000000000000000000001 +0 81 0001000000000010000000001111111111000000000000000000000000000001 +0 82 0001000000000010000000001111111111000000000000000000000000000001 +0 83 0001000000000010000000001111111111000000000000000000000000000001 +0 84 0001000000000010000000001111111111000000000000000000000000000001 +0 85 0001000000000010000000001111111111000000000000000000000000000001 +0 86 0001000000000010000000001111111111000000000000000000000000000001 +0 87 0001000000000010000000001111111111000000000000000000000000000001 +0 88 0001000000000010000000001111111111000000000000000000000000000001 +0 89 0001000000000010000000001111111111000000000000000000000000000001 +0 90 0001000000000010000000001111111111000000000000000000000000000001 +0 91 0001000000000010000000001111111111000000000000000000000000000001 +0 92 0001000000000010000000001111111111000000000000000000000000000001 +0 93 0001000000000010000000001111111111000000000000000000000000000001 +0 94 0001000000000010000000001111111111000000000000000000000000000001 +0 95 0001000000000010000000001111111111000000000000000000000000000001 +0 96 0001000000000010000000001111111111000000000000000000000000000001 +0 97 0001000000000010000000001111111111000000000000000000000000000001 +0 98 0001000000000010000000001111111111000000000000000000000000000001 +0 99 0001000000000010000000001111111111000000000000000000000000000001 +0 100 0001000000000010000000001111111111000000000000000000000000000001 +0 101 0001000000000010000000001111111111000000000000000000000000000001 +0 102 0001000000000010000000001111111111000000000000000000000000000001 +0 103 0001000000000010000000001111111111000000000000000000000000000001 +0 104 0001000000000010000000001111111111000000000000000000000000000001 +0 105 0001000000000010000000001111111111000000000000000000000000000001 +0 106 0001000000000010000000001111111111000000000000000000000000000001 +0 107 0001000000000010000000001111111111000000000000000000000000000001 +0 108 0001000000000010000000001111111111000000000000000000000000000001 +0 109 0001000000000010000000001111111111000000000000000000000000000001 +0 110 0001000000000010000000001111111111000000000000000000000000000001 +0 111 0001000000000010000000001111111111000000000000000000000000000001 +0 112 0001000000000010000000001111111111000000000000000000000000000001 +0 113 0001000000000010000000001111111111000000000000000000000000000001 +0 114 0001000000000010000000001111111111000000000000000000000000000001 +0 115 0001000000000010000000001111111111000000000000000000000000000001 +0 116 0001000000000010000000001111111111000000000000000000000000000001 +0 117 0001000000000010000000001111111111000000000000000000000000000001 +0 118 0001000000000010000000001111111111000000000000000000000000000001 +0 119 0001000000000010000000001111111111000000000000000000000000000001 +0 120 0001000000000010000000001111111111000000000000000000000000000001 +0 121 0001000000000010000000001111111111000000000000000000000000000001 +0 122 0001000000000010000000001111111111000000000000000000000000000001 +0 123 0001000000000010000000001111111111000000000000000000000000000001 +0 124 0001000000000010000000001111111111000000000000000000000000000001 +0 125 0001000000000010000000001111111111000000000000000000000000000001 +0 126 0001000000000010000000001111111111000000000000000000000000000001 +0 127 0001000000000010000000001111111111000000000000000000000000000001 +0 128 0001000000000010000000001111111111000000000000000000000000000001 +0 129 0001000000000010000000001111111111000000000000000000000000000001 +0 130 0001000000000010000000001111111111000000000000000000000000000001 +0 131 0001000000000010000000001111111111000000000000000000000000000001 +0 132 0001000000000010000000001111111111000000000000000000000000000001 +0 133 0001000000000010000000001111111111000000000000000000000000000001 +0 134 0001000000000010000000001111111111000000000000000000000000000001 +0 135 0001000000000010000000001111111111000000000000000000000000000001 +0 136 0001000000000010000000001111111111000000000000000000000000000001 +0 137 0001000000000010000000001111111111000000000000000000000000000001 +0 138 0001000000000010000000001111111111000000000000000000000000000001 +0 139 0001000000000010000000001111111111000000000000000000000000000001 +0 140 0001000000000010000000001111111111000000000000000000000000000001 +0 141 0001000000000010000000001111111111000000000000000000000000000001 +0 142 0001000000000010000000001111111111000000000000000000000000000001 +0 143 0001000000000010000000001111111111000000000000000000000000000001 +0 144 0001000000000010000000001111111111000000000000000000000000000001 +0 145 0001000000000010000000001111111111000000000000000000000000000001 +0 146 0001000000000010000000001111111111000000000000000000000000000001 +0 147 0001000000000010000000001111111111000000000000000000000000000001 +0 148 0001000000000010000000001111111111000000000000000000000000000001 +0 149 0001000000000010000000001111111111000000000000000000000000000001 +0 150 0001000000000010000000001111111111000000000000000000000000000001 +0 151 0001000000000010000000001111111111000000000000000000000000000001 +0 152 0001000000000010000000001111111111000000000000000000000000000001 +0 153 0001000000000010000000001111111111000000000000000000000000000001 +0 154 0001000000000010000000001111111111000000000000000000000000000001 +0 155 0001000000000010000000001111111111000000000000000000000000000001 +0 156 0001000000000010000000001111111111000000000000000000000000000001 +0 157 0001000000000010000000001111111111000000000000000000000000000001 +0 158 0001000000000010000000001111111111000000000000000000000000000001 +0 159 0001000000000010000000001111111111000000000000000000000000000001 +0 160 0001000000000001100110101111111111000000000000000000000000000001 +0 161 0001000000000001100110101111111111000000000000000000000000000001 +0 162 0001000000000001100110101111111111000000000000000000000000000001 +0 163 0001000000000001100110101111111111000000000000000000000000000001 +0 164 0001000000000001100110101111111111000000000000000000000000000001 +0 165 0001000000000001100110101111111111000000000000000000000000000001 +0 166 0001000000000001100110101111111111000000000000000000000000000001 +0 167 0001000000000001100110101111111111000000000000000000000000000001 +0 168 0001000000000001100110101111111111000000000000000000000000000001 +0 169 0001000000000001100110101111111111000000000000000000000000000001 +0 170 0001000000000001100110101111111111000000000000000000000000000001 +0 171 0001000000000001100110101111111111000000000000000000000000000001 +0 174 0001000000000001100110101111111111000000000000000000000000000001 +0 175 0001000000000001100110101111111111000000000000000000000000000001 +0 176 0001000000000010000000001111111111000000000000000000000000000001 +0 177 0001000000000010000000001111111111000000000000000000000000000001 +0 178 0001000000000010000000001111111111000000000000000000000000000001 +0 179 0001000000000010000000001111111111000000000000000000000000000001 +0 180 0001000000000010000000001111111111000000000000000000000000000001 +0 181 0001000000000010000000001111111111000000000000000000000000000001 +0 182 0001000000000010000000001111111111000000000000000000000000000001 +0 183 0001000000000010000000001111111111000000000000000000000000000001 +0 184 0001000000000010000000001111111111000000000000000000000000000001 +0 185 0001000000000010000000001111111111000000000000000000000000000001 +0 186 0001000000000010000000001111111111000000000000000000000000000001 +0 187 0001000000000010000000001111111111000000000000000000000000000001 +0 188 0001000000000010000000001111111111000000000000000000000000000001 +0 189 0001000000000010000000001111111111000000000000000000000000000001 +0 190 0001000000000010000000001111111111000000000000000000000000000001 +0 191 0001000000000010000000001111111111000000000000000000000000000001 +0 192 0001000000000010000000001111111111000000000000000000000000000001 +0 193 0001000000000010000000001111111111000000000000000000000000000001 +0 194 0001000000000010000000001111111111000000000000000000000000000001 +0 195 0001000000000010000000001111111111000000000000000000000000000001 +0 196 0001000000000010000000001111111111000000000000000000000000000001 +0 197 0001000000000010000000001111111111000000000000000000000000000001 +0 198 0001000000000010000000001111111111000000000000000000000000000001 +0 199 0001000000000010000000001111111111000000000000000000000000000001 +0 200 0001000000000010000000001111111111000000000000000000000000000001 +0 201 0001000000000010000000001111111111000000000000000000000000000001 +0 202 0001000000000010000000001111111111000000000000000000000000000001 +0 203 0001000000000010000000001111111111000000000000000000000000000001 +0 204 0001000000000010000000001111111111000000000000000000000000000001 +0 205 0001000000000010000000001111111111000000000000000000000000000001 +0 206 0001000000000010000000001111111111000000000000000000000000000001 +0 207 0001000000000010000000001111111111000000000000000000000000000001 +0 208 0001000000000010000000001111111111000000000000000000000000000001 +0 209 0001000000000010000000001111111111000000000000000000000000000001 +0 210 0001000000000010000000001111111111000000000000000000000000000001 +0 211 0001000000000010000000001111111111000000000000000000000000000001 +0 212 0001000000000010000000001111111111000000000000000000000000000001 +0 213 0001000000000010000000001111111111000000000000000000000000000001 +0 214 0001000000000010000000001111111111000000000000000000000000000001 +0 215 0001000000000010000000001111111111000000000000000000000000000001 +0 216 0001000000000010000000001111111111000000000000000000000000000001 +0 217 0001000000000010000000001111111111000000000000000000000000000001 +0 218 0001000000000010000000001111111111000000000000000000000000000001 +0 219 0001000000000010000000001111111111000000000000000000000000000001 +0 220 0001000000000010000000001111111111000000000000000000000000000001 +0 221 0001000000000010000000001111111111000000000000000000000000000001 +0 222 0001000000000010000000001111111111000000000000000000000000000001 +0 223 0001000000000010000000001111111111000000000000000000000000000001 +0 224 0001000000000010000000001111111111000000000000000000000000000001 +0 225 0001000000000010000000001111111111000000000000000000000000000001 +0 226 0001000000000010000000001111111111000000000000000000000000000001 +0 227 0001000000000010000000001111111111000000000000000000000000000001 +0 228 0001000000000010000000001111111111000000000000000000000000000001 +0 229 0001000000000010000000001111111111000000000000000000000000000001 +0 230 0001000000000010000000001111111111000000000000000000000000000001 +0 231 0001000000000010000000001111111111000000000000000000000000000001 +0 232 0001000000000010000000001111111111000000000000000000000000000001 +0 233 0001000000000010000000001111111111000000000000000000000000000001 +0 234 0001000000000010000000001111111111000000000000000000000000000001 +0 235 0001000000000010000000001111111111000000000000000000000000000001 +0 236 0001000000000010000000001111111111000000000000000000000000000001 +0 237 0001000000000010000000001111111111000000000000000000000000000001 +0 238 0001000000000010000000001111111111000000000000000000000000000001 +0 239 0001000000000010000000001111111111000000000000000000000000000001 +0 240 0001000000000010000000001111111111000000000000000000000000000001 +0 241 0001000000000010000000001111111111000000000000000000000000000001 +0 242 0001000000000010000000001111111111000000000000000000000000000001 +0 243 0001000000000010000000001111111111000000000000000000000000000001 +0 244 0001000000000010000000001111111111000000000000000000000000000001 +0 245 0001000000000010000000001111111111000000000000000000000000000001 +0 246 0001000000000010000000001111111111000000000000000000000000000001 +0 247 0001000000000010000000001111111111000000000000000000000000000001 +0 248 0001000000000010000000001111111111000000000000000000000000000001 +0 249 0001000000000010000000001111111111000000000000000000000000000001 +0 250 0001000000000010000000001111111111000000000000000000000000000001 +0 251 0001000000000010000000001111111111000000000000000000000000000001 +0 252 0001000000000010000000001111111111000000000000000000000000000001 +0 253 0001000000000010000000001111111111000000000000000000000000000001 +0 254 0001000000000010000000001111111111000000000000000000000000000001 +0 255 0001000000000010000000001111111111000000000000000000000000000001 +0 264 0001000000000001100110101111111111000000000000000000000000000001 +0 265 0001000000000001100110101111111111000000000000000000000000000001 +0 272 0001000000000010000000001111111111000000000000000000000000000001 +0 273 0001000000000010000000001111111111000000000000000000000000000001 +0 274 0001000000000010000000001111111111000000000000000000000000000001 +0 275 0001000000000010000000001111111111000000000000000000000000000001 +0 276 0001000000000010000000001111111111000000000000000000000000000001 +0 277 0001000000000010000000001111111111000000000000000000000000000001 +0 278 0001000000000010000000001111111111000000000000000000000000000001 +0 279 0001000000000010000000001111111111000000000000000000000000000001 +0 280 0001000000000010000000001111111111000000000000000000000000000001 +0 281 0001000000000010000000001111111111000000000000000000000000000001 +0 282 0001000000000010000000001111111111000000000000000000000000000001 +0 283 0001000000000010000000001111111111000000000000000000000000000001 +0 284 0001000000000010000000001111111111000000000000000000000000000001 +0 285 0001000000000010000000001111111111000000000000000000000000000001 +0 286 0001000000000010000000001111111111000000000000000000000000000001 +0 287 0001000000000010000000001111111111000000000000000000000000000001 +0 296 0001000000000001100110101111111111000000000000000000000000000001 +0 297 0001000000000001100110101111111111000000000000000000000000000001 +0 302 0001000000000001100110101111111111000000000000000000000000000001 +0 303 0001000000000001100110101111111111000000000000000000000000000001 +0 304 0001000000000010000000001111111111000000000000000000000000000001 +0 305 0001000000000010000000001111111111000000000000000000000000000001 +0 306 0001000000000010000000001111111111000000000000000000000000000001 +0 307 0001000000000010000000001111111111000000000000000000000000000001 +0 308 0001000000000010000000001111111111000000000000000000000000000001 +0 309 0001000000000010000000001111111111000000000000000000000000000001 +0 310 0001000000000010000000001111111111000000000000000000000000000001 +0 311 0001000000000010000000001111111111000000000000000000000000000001 +0 312 0001000000000010000000001111111111000000000000000000000000000001 +0 313 0001000000000010000000001111111111000000000000000000000000000001 +0 314 0001000000000010000000001111111111000000000000000000000000000001 +0 315 0001000000000010000000001111111111000000000000000000000000000001 +0 316 0001000000000010000000001111111111000000000000000000000000000001 +0 317 0001000000000010000000001111111111000000000000000000000000000001 +0 318 0001000000000010000000001111111111000000000000000000000000000001 +0 319 0001000000000010000000001111111111000000000000000000000000000001 +0 320 0001000000000001100110101111111111000000000000000000000000000001 +0 321 0001000000000001100110101111111111000000000000000000000000000001 +0 322 0001000000000001100110101111111111000000000000000000000000000001 +0 323 0001000000000001100110101111111111000000000000000000000000000001 +0 324 0001000000000001100110101111111111000000000000000000000000000001 +0 325 0001000000000001100110101111111111000000000000000000000000000001 +0 326 0001000000000001100110101111111111000000000000000000000000000001 +0 327 0001000000000001100110101111111111000000000000000000000000000001 +0 328 0001000000000001100110101111111111000000000000000000000000000001 +0 329 0001000000000001100110101111111111000000000000000000000000000001 +0 332 0001000000000001100110101111111111000000000000000000000000000001 +0 333 0001000000000001100110101111111111000000000000000000000000000001 +0 334 0001000000000001100110101111111111000000000000000000000000000001 +0 335 0001000000000001100110101111111111000000000000000000000000000001 +0 336 0001000000000010000000001111111111000000000000000000000000000001 +0 337 0001000000000010000000001111111111000000000000000000000000000001 +0 338 0001000000000010000000001111111111000000000000000000000000000001 +0 339 0001000000000010000000001111111111000000000000000000000000000001 +0 340 0001000000000010000000001111111111000000000000000000000000000001 +0 341 0001000000000010000000001111111111000000000000000000000000000001 +0 342 0001000000000010000000001111111111000000000000000000000000000001 +0 343 0001000000000010000000001111111111000000000000000000000000000001 +0 344 0001000000000010000000001111111111000000000000000000000000000001 +0 345 0001000000000010000000001111111111000000000000000000000000000001 +0 346 0001000000000010000000001111111111000000000000000000000000000001 +0 347 0001000000000010000000001111111111000000000000000000000000000001 +0 348 0001000000000010000000001111111111000000000000000000000000000001 +0 349 0001000000000010000000001111111111000000000000000000000000000001 +0 350 0001000000000010000000001111111111000000000000000000000000000001 +0 351 0001000000000010000000001111111111000000000000000000000000000001 +0 352 0001000000000001100110101111111111000000000000000000000000000001 +0 353 0001000000000001100110101111111111000000000000000000000000000001 +0 354 0001000000000001100110101111111111000000000000000000000000000001 +0 355 0001000000000001100110101111111111000000000000000000000000000001 +0 356 0001000000000001100110101111111111000000000000000000000000000001 +0 357 0001000000000001100110101111111111000000000000000000000000000001 +0 358 0001000000000001100110101111111111000000000000000000000000000001 +0 359 0001000000000001100110101111111111000000000000000000000000000001 +0 360 0001000000000001100110101111111111000000000000000000000000000001 +0 361 0001000000000001100110101111111111000000000000000000000000000001 +0 364 0001000000000001100110101111111111000000000000000000000000000001 +0 365 0001000000000001100110101111111111000000000000000000000000000001 +0 366 0001000000000001100110101111111111000000000000000000000000000001 +0 367 0001000000000001100110101111111111000000000000000000000000000001 +0 368 0001000000000010000000001111111111000000000000000000000000000001 +0 369 0001000000000010000000001111111111000000000000000000000000000001 +0 370 0001000000000010000000001111111111000000000000000000000000000001 +0 371 0001000000000010000000001111111111000000000000000000000000000001 +0 372 0001000000000010000000001111111111000000000000000000000000000001 +0 373 0001000000000010000000001111111111000000000000000000000000000001 +0 374 0001000000000010000000001111111111000000000000000000000000000001 +0 375 0001000000000010000000001111111111000000000000000000000000000001 +0 376 0001000000000010000000001111111111000000000000000000000000000001 +0 377 0001000000000010000000001111111111000000000000000000000000000001 +0 378 0001000000000010000000001111111111000000000000000000000000000001 +0 379 0001000000000010000000001111111111000000000000000000000000000001 +0 380 0001000000000010000000001111111111000000000000000000000000000001 +0 381 0001000000000010000000001111111111000000000000000000000000000001 +0 382 0001000000000010000000001111111111000000000000000000000000000001 +0 383 0001000000000010000000001111111111000000000000000000000000000001 +0 384 0001000000000010000000001111111111000000000000000000000000000001 +0 385 0001000000000010000000001111111111000000000000000000000000000001 +0 386 0001000000000010000000001111111111000000000000000000000000000001 +0 387 0001000000000010000000001111111111000000000000000000000000000001 +0 388 0001000000000010000000001111111111000000000000000000000000000001 +0 389 0001000000000010000000001111111111000000000000000000000000000001 +0 390 0001000000000010000000001111111111000000000000000000000000000001 +0 391 0001000000000010000000001111111111000000000000000000000000000001 +0 392 0001000000000010000000001111111111000000000000000000000000000001 +0 393 0001000000000010000000001111111111000000000000000000000000000001 +0 394 0001000000000010000000001111111111000000000000000000000000000001 +0 395 0001000000000010000000001111111111000000000000000000000000000001 +0 396 0001000000000010000000001111111111000000000000000000000000000001 +0 397 0001000000000010000000001111111111000000000000000000000000000001 +0 398 0001000000000010000000001111111111000000000000000000000000000001 +0 399 0001000000000010000000001111111111000000000000000000000000000001 +0 400 0001000000000010000000001111111111000000000000000000000000000001 +0 401 0001000000000010000000001111111111000000000000000000000000000001 +0 402 0001000000000010000000001111111111000000000000000000000000000001 +0 403 0001000000000010000000001111111111000000000000000000000000000001 +0 404 0001000000000010000000001111111111000000000000000000000000000001 +0 405 0001000000000010000000001111111111000000000000000000000000000001 +0 406 0001000000000010000000001111111111000000000000000000000000000001 +0 407 0001000000000010000000001111111111000000000000000000000000000001 +0 408 0001000000000010000000001111111111000000000000000000000000000001 +0 409 0001000000000010000000001111111111000000000000000000000000000001 +0 410 0001000000000010000000001111111111000000000000000000000000000001 +0 411 0001000000000010000000001111111111000000000000000000000000000001 +0 412 0001000000000010000000001111111111000000000000000000000000000001 +0 413 0001000000000010000000001111111111000000000000000000000000000001 +0 414 0001000000000010000000001111111111000000000000000000000000000001 +0 415 0001000000000010000000001111111111000000000000000000000000000001 +0 416 0001000000000001100110101111111111000000000000000000000000000001 +0 417 0001000000000001100110101111111111000000000000000000000000000001 +0 418 0001000000000001100110101111111111000000000000000000000000000001 +0 419 0001000000000001100110101111111111000000000000000000000000000001 +0 420 0001000000000001100110101111111111000000000000000000000000000001 +0 421 0001000000000001100110101111111111000000000000000000000000000001 +0 422 0001000000000001100110101111111111000000000000000000000000000001 +0 423 0001000000000001100110101111111111000000000000000000000000000001 +0 424 0001000000000001100110101111111111000000000000000000000000000001 +0 425 0001000000000001100110101111111111000000000000000000000000000001 +0 426 0001000000000001100110101111111111000000000000000000000000000001 +0 427 0001000000000001100110101111111111000000000000000000000000000001 +0 430 0001000000000001100110101111111111000000000000000000000000000001 +0 431 0001000000000001100110101111111111000000000000000000000000000001 +0 432 0001000000000010000000001111111111000000000000000000000000000001 +0 433 0001000000000010000000001111111111000000000000000000000000000001 +0 434 0001000000000010000000001111111111000000000000000000000000000001 +0 435 0001000000000010000000001111111111000000000000000000000000000001 +0 436 0001000000000010000000001111111111000000000000000000000000000001 +0 437 0001000000000010000000001111111111000000000000000000000000000001 +0 438 0001000000000010000000001111111111000000000000000000000000000001 +0 439 0001000000000010000000001111111111000000000000000000000000000001 +0 440 0001000000000010000000001111111111000000000000000000000000000001 +0 441 0001000000000010000000001111111111000000000000000000000000000001 +0 442 0001000000000010000000001111111111000000000000000000000000000001 +0 443 0001000000000010000000001111111111000000000000000000000000000001 +0 444 0001000000000010000000001111111111000000000000000000000000000001 +0 445 0001000000000010000000001111111111000000000000000000000000000001 +0 446 0001000000000010000000001111111111000000000000000000000000000001 +0 447 0001000000000010000000001111111111000000000000000000000000000001 +0 448 0001000000000010000000001111111111000000000000000000000000000001 +0 449 0001000000000010000000001111111111000000000000000000000000000001 +0 450 0001000000000010000000001111111111000000000000000000000000000001 +0 451 0001000000000010000000001111111111000000000000000000000000000001 +0 452 0001000000000010000000001111111111000000000000000000000000000001 +0 453 0001000000000010000000001111111111000000000000000000000000000001 +0 454 0001000000000010000000001111111111000000000000000000000000000001 +0 455 0001000000000010000000001111111111000000000000000000000000000001 +0 456 0001000000000010000000001111111111000000000000000000000000000001 +0 457 0001000000000010000000001111111111000000000000000000000000000001 +0 458 0001000000000010000000001111111111000000000000000000000000000001 +0 459 0001000000000010000000001111111111000000000000000000000000000001 +0 460 0001000000000010000000001111111111000000000000000000000000000001 +0 461 0001000000000010000000001111111111000000000000000000000000000001 +0 462 0001000000000010000000001111111111000000000000000000000000000001 +0 463 0001000000000010000000001111111111000000000000000000000000000001 +0 464 0001000000000010000000001111111111000000000000000000000000000001 +0 465 0001000000000010000000001111111111000000000000000000000000000001 +0 466 0001000000000010000000001111111111000000000000000000000000000001 +0 467 0001000000000010000000001111111111000000000000000000000000000001 +0 468 0001000000000010000000001111111111000000000000000000000000000001 +0 469 0001000000000010000000001111111111000000000000000000000000000001 +0 470 0001000000000010000000001111111111000000000000000000000000000001 +0 471 0001000000000010000000001111111111000000000000000000000000000001 +0 472 0001000000000010000000001111111111000000000000000000000000000001 +0 473 0001000000000010000000001111111111000000000000000000000000000001 +0 474 0001000000000010000000001111111111000000000000000000000000000001 +0 475 0001000000000010000000001111111111000000000000000000000000000001 +0 476 0001000000000010000000001111111111000000000000000000000000000001 +0 477 0001000000000010000000001111111111000000000000000000000000000001 +0 478 0001000000000010000000001111111111000000000000000000000000000001 +0 479 0001000000000010000000001111111111000000000000000000000000000001 +0 480 0001000000000010000000001111111111000000000000000000000000000001 +0 481 0001000000000010000000001111111111000000000000000000000000000001 +0 482 0001000000000010000000001111111111000000000000000000000000000001 +0 483 0001000000000010000000001111111111000000000000000000000000000001 +0 484 0001000000000010000000001111111111000000000000000000000000000001 +0 485 0001000000000010000000001111111111000000000000000000000000000001 +0 486 0001000000000010000000001111111111000000000000000000000000000001 +0 487 0001000000000010000000001111111111000000000000000000000000000001 +0 488 0001000000000010000000001111111111000000000000000000000000000001 +0 489 0001000000000010000000001111111111000000000000000000000000000001 +0 490 0001000000000010000000001111111111000000000000000000000000000001 +0 491 0001000000000010000000001111111111000000000000000000000000000001 +0 492 0001000000000010000000001111111111000000000000000000000000000001 +0 493 0001000000000010000000001111111111000000000000000000000000000001 +0 494 0001000000000010000000001111111111000000000000000000000000000001 +0 495 0001000000000010000000001111111111000000000000000000000000000001 +0 496 0001000000000010000000001111111111000000000000000000000000000001 +0 497 0001000000000010000000001111111111000000000000000000000000000001 +0 498 0001000000000010000000001111111111000000000000000000000000000001 +0 499 0001000000000010000000001111111111000000000000000000000000000001 +0 500 0001000000000010000000001111111111000000000000000000000000000001 +0 501 0001000000000010000000001111111111000000000000000000000000000001 +0 502 0001000000000010000000001111111111000000000000000000000000000001 +0 503 0001000000000010000000001111111111000000000000000000000000000001 +0 504 0001000000000010000000001111111111000000000000000000000000000001 +0 505 0001000000000010000000001111111111000000000000000000000000000001 +0 506 0001000000000010000000001111111111000000000000000000000000000001 +0 507 0001000000000010000000001111111111000000000000000000000000000001 +0 508 0001000000000010000000001111111111000000000000000000000000000001 +0 509 0001000000000010000000001111111111000000000000000000000000000001 +0 510 0001000000000010000000001111111111000000000000000000000000000001 +0 511 0001000000000010000000001111111111000000000000000000000000000001 +0 512 0001000000000010000000001111111111000000000000000000000000000001 +0 513 0001000000000010000000001111111111000000000000000000000000000001 +0 514 0001000000000010000000001111111111000000000000000000000000000001 +0 515 0001000000000010000000001111111111000000000000000000000000000001 +0 516 0001000000000010000000001111111111000000000000000000000000000001 +0 517 0001000000000010000000001111111111000000000000000000000000000001 +0 518 0001000000000010000000001111111111000000000000000000000000000001 +0 519 0001000000000010000000001111111111000000000000000000000000000001 +0 520 0001000000000010000000001111111111000000000000000000000000000001 +0 521 0001000000000010000000001111111111000000000000000000000000000001 +0 522 0001000000000010000000001111111111000000000000000000000000000001 +0 523 0001000000000010000000001111111111000000000000000000000000000001 +0 524 0001000000000010000000001111111111000000000000000000000000000001 +0 525 0001000000000010000000001111111111000000000000000000000000000001 +0 526 0001000000000010000000001111111111000000000000000000000000000001 +0 527 0001000000000010000000001111111111000000000000000000000000000001 +0 528 0001000000000010000000001111111111000000000000000000000000000001 +0 529 0001000000000010000000001111111111000000000000000000000000000001 +0 530 0001000000000010000000001111111111000000000000000000000000000001 +0 531 0001000000000010000000001111111111000000000000000000000000000001 +0 532 0001000000000010000000001111111111000000000000000000000000000001 +0 533 0001000000000010000000001111111111000000000000000000000000000001 +0 534 0001000000000010000000001111111111000000000000000000000000000001 +0 535 0001000000000010000000001111111111000000000000000000000000000001 +0 536 0001000000000010000000001111111111000000000000000000000000000001 +0 537 0001000000000010000000001111111111000000000000000000000000000001 +0 538 0001000000000010000000001111111111000000000000000000000000000001 +0 539 0001000000000010000000001111111111000000000000000000000000000001 +0 540 0001000000000010000000001111111111000000000000000000000000000001 +0 541 0001000000000010000000001111111111000000000000000000000000000001 +0 542 0001000000000010000000001111111111000000000000000000000000000001 +0 543 0001000000000010000000001111111111000000000000000000000000000001 +0 544 0001000000000010000000001111111111000000000000000000000000000001 +0 545 0001000000000010000000001111111111000000000000000000000000000001 +0 546 0001000000000010000000001111111111000000000000000000000000000001 +0 547 0001000000000010000000001111111111000000000000000000000000000001 +0 548 0001000000000010000000001111111111000000000000000000000000000001 +0 549 0001000000000010000000001111111111000000000000000000000000000001 +0 550 0001000000000010000000001111111111000000000000000000000000000001 +0 551 0001000000000010000000001111111111000000000000000000000000000001 +0 552 0001000000000010000000001111111111000000000000000000000000000001 +0 553 0001000000000010000000001111111111000000000000000000000000000001 +0 554 0001000000000010000000001111111111000000000000000000000000000001 +0 555 0001000000000010000000001111111111000000000000000000000000000001 +0 556 0001000000000010000000001111111111000000000000000000000000000001 +0 557 0001000000000010000000001111111111000000000000000000000000000001 +0 558 0001000000000010000000001111111111000000000000000000000000000001 +0 559 0001000000000010000000001111111111000000000000000000000000000001 +0 560 0001000000000010000000001111111111000000000000000000000000000001 +0 561 0001000000000010000000001111111111000000000000000000000000000001 +0 562 0001000000000010000000001111111111000000000000000000000000000001 +0 563 0001000000000010000000001111111111000000000000000000000000000001 +0 564 0001000000000010000000001111111111000000000000000000000000000001 +0 565 0001000000000010000000001111111111000000000000000000000000000001 +0 566 0001000000000010000000001111111111000000000000000000000000000001 +0 567 0001000000000010000000001111111111000000000000000000000000000001 +0 568 0001000000000010000000001111111111000000000000000000000000000001 +0 569 0001000000000010000000001111111111000000000000000000000000000001 +0 570 0001000000000010000000001111111111000000000000000000000000000001 +0 571 0001000000000010000000001111111111000000000000000000000000000001 +0 572 0001000000000010000000001111111111000000000000000000000000000001 +0 573 0001000000000010000000001111111111000000000000000000000000000001 +0 574 0001000000000010000000001111111111000000000000000000000000000001 +0 575 0001000000000010000000001111111111000000000000000000000000000001 +0 576 0001000000000010000000001111111111000000000000000000000000000001 +0 577 0001000000000010000000001111111111000000000000000000000000000001 +0 578 0001000000000010000000001111111111000000000000000000000000000001 +0 579 0001000000000010000000001111111111000000000000000000000000000001 +0 580 0001000000000010000000001111111111000000000000000000000000000001 +0 581 0001000000000010000000001111111111000000000000000000000000000001 +0 582 0001000000000010000000001111111111000000000000000000000000000001 +0 583 0001000000000010000000001111111111000000000000000000000000000001 +0 584 0001000000000010000000001111111111000000000000000000000000000001 +0 585 0001000000000010000000001111111111000000000000000000000000000001 +0 586 0001000000000010000000001111111111000000000000000000000000000001 +0 587 0001000000000010000000001111111111000000000000000000000000000001 +0 588 0001000000000010000000001111111111000000000000000000000000000001 +0 589 0001000000000010000000001111111111000000000000000000000000000001 +0 590 0001000000000010000000001111111111000000000000000000000000000001 +0 591 0001000000000010000000001111111111000000000000000000000000000001 +0 592 0001000000000010000000001111111111000000000000000000000000000001 +0 593 0001000000000010000000001111111111000000000000000000000000000001 +0 594 0001000000000010000000001111111111000000000000000000000000000001 +0 595 0001000000000010000000001111111111000000000000000000000000000001 +0 596 0001000000000010000000001111111111000000000000000000000000000001 +0 597 0001000000000010000000001111111111000000000000000000000000000001 +0 598 0001000000000010000000001111111111000000000000000000000000000001 +0 599 0001000000000010000000001111111111000000000000000000000000000001 +0 600 0001000000000010000000001111111111000000000000000000000000000001 +0 601 0001000000000010000000001111111111000000000000000000000000000001 +0 602 0001000000000010000000001111111111000000000000000000000000000001 +0 603 0001000000000010000000001111111111000000000000000000000000000001 +0 604 0001000000000010000000001111111111000000000000000000000000000001 +0 605 0001000000000010000000001111111111000000000000000000000000000001 +0 606 0001000000000010000000001111111111000000000000000000000000000001 +0 607 0001000000000010000000001111111111000000000000000000000000000001 +0 608 0001000000000010000000001111111111000000000000000000000000000001 +0 609 0001000000000010000000001111111111000000000000000000000000000001 +0 610 0001000000000010000000001111111111000000000000000000000000000001 +0 611 0001000000000010000000001111111111000000000000000000000000000001 +0 612 0001000000000010000000001111111111000000000000000000000000000001 +0 613 0001000000000010000000001111111111000000000000000000000000000001 +0 614 0001000000000010000000001111111111000000000000000000000000000001 +0 615 0001000000000010000000001111111111000000000000000000000000000001 +0 616 0001000000000010000000001111111111000000000000000000000000000001 +0 617 0001000000000010000000001111111111000000000000000000000000000001 +0 618 0001000000000010000000001111111111000000000000000000000000000001 +0 619 0001000000000010000000001111111111000000000000000000000000000001 +0 620 0001000000000010000000001111111111000000000000000000000000000001 +0 621 0001000000000010000000001111111111000000000000000000000000000001 +0 622 0001000000000010000000001111111111000000000000000000000000000001 +0 623 0001000000000010000000001111111111000000000000000000000000000001 +0 624 0001000000000010000000001111111111000000000000000000000000000001 +0 625 0001000000000010000000001111111111000000000000000000000000000001 +0 626 0001000000000010000000001111111111000000000000000000000000000001 +0 627 0001000000000010000000001111111111000000000000000000000000000001 +0 628 0001000000000010000000001111111111000000000000000000000000000001 +0 629 0001000000000010000000001111111111000000000000000000000000000001 +0 630 0001000000000010000000001111111111000000000000000000000000000001 +0 631 0001000000000010000000001111111111000000000000000000000000000001 +0 632 0001000000000010000000001111111111000000000000000000000000000001 +0 633 0001000000000010000000001111111111000000000000000000000000000001 +0 634 0001000000000010000000001111111111000000000000000000000000000001 +0 635 0001000000000010000000001111111111000000000000000000000000000001 +0 636 0001000000000010000000001111111111000000000000000000000000000001 +0 637 0001000000000010000000001111111111000000000000000000000000000001 +0 638 0001000000000010000000001111111111000000000000000000000000000001 +0 639 0001000000000010000000001111111111000000000000000000000000000001 +0 640 0001000000000010000000001111111111000000000000000000000000000001 +0 641 0001000000000010000000001111111111000000000000000000000000000001 +0 642 0001000000000010000000001111111111000000000000000000000000000001 +0 643 0001000000000010000000001111111111000000000000000000000000000001 +0 644 0001000000000010000000001111111111000000000000000000000000000001 +0 645 0001000000000010000000001111111111000000000000000000000000000001 +0 646 0001000000000010000000001111111111000000000000000000000000000001 +0 647 0001000000000010000000001111111111000000000000000000000000000001 +0 648 0001000000000010000000001111111111000000000000000000000000000001 +0 649 0001000000000010000000001111111111000000000000000000000000000001 +0 650 0001000000000010000000001111111111000000000000000000000000000001 +0 651 0001000000000010000000001111111111000000000000000000000000000001 +0 652 0001000000000010000000001111111111000000000000000000000000000001 +0 653 0001000000000010000000001111111111000000000000000000000000000001 +0 654 0001000000000010000000001111111111000000000000000000000000000001 +0 655 0001000000000010000000001111111111000000000000000000000000000001 +0 656 0001000000000010000000001111111111000000000000000000000000000001 +0 657 0001000000000010000000001111111111000000000000000000000000000001 +0 658 0001000000000010000000001111111111000000000000000000000000000001 +0 659 0001000000000010000000001111111111000000000000000000000000000001 +0 660 0001000000000010000000001111111111000000000000000000000000000001 +0 661 0001000000000010000000001111111111000000000000000000000000000001 +0 662 0001000000000010000000001111111111000000000000000000000000000001 +0 663 0001000000000010000000001111111111000000000000000000000000000001 +0 664 0001000000000010000000001111111111000000000000000000000000000001 +0 665 0001000000000010000000001111111111000000000000000000000000000001 +0 666 0001000000000010000000001111111111000000000000000000000000000001 +0 667 0001000000000010000000001111111111000000000000000000000000000001 +0 668 0001000000000010000000001111111111000000000000000000000000000001 +0 669 0001000000000010000000001111111111000000000000000000000000000001 +0 670 0001000000000010000000001111111111000000000000000000000000000001 +0 671 0001000000000010000000001111111111000000000000000000000000000001 +0 672 0001000000000010000000001111111111000000000000000000000000000001 +0 673 0001000000000010000000001111111111000000000000000000000000000001 +0 674 0001000000000010000000001111111111000000000000000000000000000001 +0 675 0001000000000010000000001111111111000000000000000000000000000001 +0 676 0001000000000010000000001111111111000000000000000000000000000001 +0 677 0001000000000010000000001111111111000000000000000000000000000001 +0 678 0001000000000010000000001111111111000000000000000000000000000001 +0 679 0001000000000010000000001111111111000000000000000000000000000001 +0 680 0001000000000010000000001111111111000000000000000000000000000001 +0 681 0001000000000010000000001111111111000000000000000000000000000001 +0 682 0001000000000010000000001111111111000000000000000000000000000001 +0 683 0001000000000010000000001111111111000000000000000000000000000001 +0 684 0001000000000010000000001111111111000000000000000000000000000001 +0 685 0001000000000010000000001111111111000000000000000000000000000001 +0 686 0001000000000010000000001111111111000000000000000000000000000001 +0 687 0001000000000010000000001111111111000000000000000000000000000001 +0 688 0001000000000010000000001111111111000000000000000000000000000001 +0 689 0001000000000010000000001111111111000000000000000000000000000001 +0 690 0001000000000010000000001111111111000000000000000000000000000001 +0 691 0001000000000010000000001111111111000000000000000000000000000001 +0 692 0001000000000010000000001111111111000000000000000000000000000001 +0 693 0001000000000010000000001111111111000000000000000000000000000001 +0 694 0001000000000010000000001111111111000000000000000000000000000001 +0 695 0001000000000010000000001111111111000000000000000000000000000001 +0 696 0001000000000010000000001111111111000000000000000000000000000001 +0 697 0001000000000010000000001111111111000000000000000000000000000001 +0 698 0001000000000010000000001111111111000000000000000000000000000001 +0 699 0001000000000010000000001111111111000000000000000000000000000001 +0 700 0001000000000010000000001111111111000000000000000000000000000001 +0 701 0001000000000010000000001111111111000000000000000000000000000001 +0 702 0001000000000010000000001111111111000000000000000000000000000001 +0 703 0001000000000010000000001111111111000000000000000000000000000001 +0 704 0001000000000010000000001111111111000000000000000000000000000001 +0 705 0001000000000010000000001111111111000000000000000000000000000001 +0 706 0001000000000010000000001111111111000000000000000000000000000001 +0 707 0001000000000010000000001111111111000000000000000000000000000001 +0 708 0001000000000010000000001111111111000000000000000000000000000001 +0 709 0001000000000010000000001111111111000000000000000000000000000001 +0 710 0001000000000010000000001111111111000000000000000000000000000001 +0 711 0001000000000010000000001111111111000000000000000000000000000001 +0 712 0001000000000010000000001111111111000000000000000000000000000001 +0 713 0001000000000010000000001111111111000000000000000000000000000001 +0 714 0001000000000010000000001111111111000000000000000000000000000001 +0 715 0001000000000010000000001111111111000000000000000000000000000001 +0 716 0001000000000010000000001111111111000000000000000000000000000001 +0 717 0001000000000010000000001111111111000000000000000000000000000001 +0 718 0001000000000010000000001111111111000000000000000000000000000001 +0 719 0001000000000010000000001111111111000000000000000000000000000001 +0 720 0001000000000010000000001111111111000000000000000000000000000001 +0 721 0001000000000010000000001111111111000000000000000000000000000001 +0 722 0001000000000010000000001111111111000000000000000000000000000001 +0 723 0001000000000010000000001111111111000000000000000000000000000001 +0 724 0001000000000010000000001111111111000000000000000000000000000001 +0 725 0001000000000010000000001111111111000000000000000000000000000001 +0 726 0001000000000010000000001111111111000000000000000000000000000001 +0 727 0001000000000010000000001111111111000000000000000000000000000001 +0 728 0001000000000010000000001111111111000000000000000000000000000001 +0 729 0001000000000010000000001111111111000000000000000000000000000001 +0 730 0001000000000010000000001111111111000000000000000000000000000001 +0 731 0001000000000010000000001111111111000000000000000000000000000001 +0 732 0001000000000010000000001111111111000000000000000000000000000001 +0 733 0001000000000010000000001111111111000000000000000000000000000001 +0 734 0001000000000010000000001111111111000000000000000000000000000001 +0 735 0001000000000010000000001111111111000000000000000000000000000001 +0 736 0001000000000010000000001111111111000000000000000000000000000001 +0 737 0001000000000010000000001111111111000000000000000000000000000001 +0 738 0001000000000010000000001111111111000000000000000000000000000001 +0 739 0001000000000010000000001111111111000000000000000000000000000001 +0 740 0001000000000010000000001111111111000000000000000000000000000001 +0 741 0001000000000010000000001111111111000000000000000000000000000001 +0 742 0001000000000010000000001111111111000000000000000000000000000001 +0 743 0001000000000010000000001111111111000000000000000000000000000001 +0 744 0001000000000010000000001111111111000000000000000000000000000001 +0 745 0001000000000010000000001111111111000000000000000000000000000001 +0 746 0001000000000010000000001111111111000000000000000000000000000001 +0 747 0001000000000010000000001111111111000000000000000000000000000001 +0 748 0001000000000010000000001111111111000000000000000000000000000001 +0 749 0001000000000010000000001111111111000000000000000000000000000001 +0 750 0001000000000010000000001111111111000000000000000000000000000001 +0 751 0001000000000010000000001111111111000000000000000000000000000001 +0 752 0001000000000010000000001111111111000000000000000000000000000001 +0 753 0001000000000010000000001111111111000000000000000000000000000001 +0 754 0001000000000010000000001111111111000000000000000000000000000001 +0 755 0001000000000010000000001111111111000000000000000000000000000001 +0 756 0001000000000010000000001111111111000000000000000000000000000001 +0 757 0001000000000010000000001111111111000000000000000000000000000001 +0 758 0001000000000010000000001111111111000000000000000000000000000001 +0 759 0001000000000010000000001111111111000000000000000000000000000001 +0 760 0001000000000010000000001111111111000000000000000000000000000001 +0 761 0001000000000010000000001111111111000000000000000000000000000001 +0 762 0001000000000010000000001111111111000000000000000000000000000001 +0 763 0001000000000010000000001111111111000000000000000000000000000001 +0 764 0001000000000010000000001111111111000000000000000000000000000001 +0 765 0001000000000010000000001111111111000000000000000000000000000001 +0 766 0001000000000010000000001111111111000000000000000000000000000001 +0 767 0001000000000010000000001111111111000000000000000000000000000001 +0 768 0001000000000001100110101111111111000000000000000000000000000001 +0 769 0001000000000001100110101111111111000000000000000000000000000001 +0 770 0001000000000001100110101111111111000000000000000000000000000001 +0 771 0001000000000001100110101111111111000000000000000000000000000001 +0 772 0001000000000001100110101111111111000000000000000000000000000001 +0 773 0001000000000001100110101111111111000000000000000000000000000001 +0 774 0001000000000001100110101111111111000000000000000000000000000001 +0 775 0001000000000001100110101111111111000000000000000000000000000001 +0 776 0001000000000001100110101111111111000000000000000000000000000001 +0 777 0001000000000001100110101111111111000000000000000000000000000001 +0 780 0001000000000001100110101111111111000000000000000000000000000001 +0 781 0001000000000001100110101111111111000000000000000000000000000001 +0 782 0001000000000001100110101111111111000000000000000000000000000001 +0 783 0001000000000001100110101111111111000000000000000000000000000001 +0 784 0001000000000010000000001111111111000000000000000000000000000001 +0 785 0001000000000010000000001111111111000000000000000000000000000001 +0 786 0001000000000010000000001111111111000000000000000000000000000001 +0 787 0001000000000010000000001111111111000000000000000000000000000001 +0 788 0001000000000010000000001111111111000000000000000000000000000001 +0 789 0001000000000010000000001111111111000000000000000000000000000001 +0 790 0001000000000010000000001111111111000000000000000000000000000001 +0 791 0001000000000010000000001111111111000000000000000000000000000001 +0 792 0001000000000010000000001111111111000000000000000000000000000001 +0 793 0001000000000010000000001111111111000000000000000000000000000001 +0 794 0001000000000010000000001111111111000000000000000000000000000001 +0 795 0001000000000010000000001111111111000000000000000000000000000001 +0 796 0001000000000010000000001111111111000000000000000000000000000001 +0 797 0001000000000010000000001111111111000000000000000000000000000001 +0 798 0001000000000010000000001111111111000000000000000000000000000001 +0 799 0001000000000010000000001111111111000000000000000000000000000001 +0 800 0001000000000001100110101111111111000000000000000000000000000001 +0 801 0001000000000001100110101111111111000000000000000000000000000001 +0 802 0001000000000001100110101111111111000000000000000000000000000001 +0 803 0001000000000001100110101111111111000000000000000000000000000001 +0 804 0001000000000001100110101111111111000000000000000000000000000001 +0 805 0001000000000001100110101111111111000000000000000000000000000001 +0 806 0001000000000001100110101111111111000000000000000000000000000001 +0 807 0001000000000001100110101111111111000000000000000000000000000001 +0 808 0001000000000001100110101111111111000000000000000000000000000001 +0 809 0001000000000001100110101111111111000000000000000000000000000001 +0 812 0001000000000001100110101111111111000000000000000000000000000001 +0 813 0001000000000001100110101111111111000000000000000000000000000001 +0 814 0001000000000001100110101111111111000000000000000000000000000001 +0 815 0001000000000001100110101111111111000000000000000000000000000001 +0 816 0001000000000010000000001111111111000000000000000000000000000001 +0 817 0001000000000010000000001111111111000000000000000000000000000001 +0 818 0001000000000010000000001111111111000000000000000000000000000001 +0 819 0001000000000010000000001111111111000000000000000000000000000001 +0 820 0001000000000010000000001111111111000000000000000000000000000001 +0 821 0001000000000010000000001111111111000000000000000000000000000001 +0 822 0001000000000010000000001111111111000000000000000000000000000001 +0 823 0001000000000010000000001111111111000000000000000000000000000001 +0 824 0001000000000010000000001111111111000000000000000000000000000001 +0 825 0001000000000010000000001111111111000000000000000000000000000001 +0 826 0001000000000010000000001111111111000000000000000000000000000001 +0 827 0001000000000010000000001111111111000000000000000000000000000001 +0 828 0001000000000010000000001111111111000000000000000000000000000001 +0 829 0001000000000010000000001111111111000000000000000000000000000001 +0 830 0001000000000010000000001111111111000000000000000000000000000001 +0 831 0001000000000010000000001111111111000000000000000000000000000001 +0 832 0001000000000001100110101111111111000000000000000000000000000001 +0 833 0001000000000001100110101111111111000000000000000000000000000001 +0 834 0001000000000001100110101111111111000000000000000000000000000001 +0 835 0001000000000001100110101111111111000000000000000000000000000001 +0 836 0001000000000001100110101111111111000000000000000000000000000001 +0 837 0001000000000001100110101111111111000000000000000000000000000001 +0 838 0001000000000001100110101111111111000000000000000000000000000001 +0 839 0001000000000001100110101111111111000000000000000000000000000001 +0 840 0001000000000001100110101111111111000000000000000000000000000001 +0 841 0001000000000001100110101111111111000000000000000000000000000001 +0 844 0001000000000001100110101111111111000000000000000000000000000001 +0 845 0001000000000001100110101111111111000000000000000000000000000001 +0 846 0001000000000001100110101111111111000000000000000000000000000001 +0 847 0001000000000001100110101111111111000000000000000000000000000001 +0 848 0001000000000010000000001111111111000000000000000000000000000001 +0 849 0001000000000010000000001111111111000000000000000000000000000001 +0 850 0001000000000010000000001111111111000000000000000000000000000001 +0 851 0001000000000010000000001111111111000000000000000000000000000001 +0 852 0001000000000010000000001111111111000000000000000000000000000001 +0 853 0001000000000010000000001111111111000000000000000000000000000001 +0 854 0001000000000010000000001111111111000000000000000000000000000001 +0 855 0001000000000010000000001111111111000000000000000000000000000001 +0 856 0001000000000010000000001111111111000000000000000000000000000001 +0 857 0001000000000010000000001111111111000000000000000000000000000001 +0 858 0001000000000010000000001111111111000000000000000000000000000001 +0 859 0001000000000010000000001111111111000000000000000000000000000001 +0 860 0001000000000010000000001111111111000000000000000000000000000001 +0 861 0001000000000010000000001111111111000000000000000000000000000001 +0 862 0001000000000010000000001111111111000000000000000000000000000001 +0 863 0001000000000010000000001111111111000000000000000000000000000001 +0 864 0001000000000001100110101111111111000000000000000000000000000001 +0 865 0001000000000001100110101111111111000000000000000000000000000001 +0 866 0001000000000001100110101111111111000000000000000000000000000001 +0 867 0001000000000001100110101111111111000000000000000000000000000001 +0 868 0001000000000001100110101111111111000000000000000000000000000001 +0 869 0001000000000001100110101111111111000000000000000000000000000001 +0 870 0001000000000001100110101111111111000000000000000000000000000001 +0 871 0001000000000001100110101111111111000000000000000000000000000001 +0 872 0001000000000001100110101111111111000000000000000000000000000001 +0 873 0001000000000001100110101111111111000000000000000000000000000001 +0 876 0001000000000001100110101111111111000000000000000000000000000001 +0 877 0001000000000001100110101111111111000000000000000000000000000001 +0 878 0001000000000001100110101111111111000000000000000000000000000001 +0 879 0001000000000001100110101111111111000000000000000000000000000001 +0 880 0001000000000010000000001111111111000000000000000000000000000001 +0 881 0001000000000010000000001111111111000000000000000000000000000001 +0 882 0001000000000010000000001111111111000000000000000000000000000001 +0 883 0001000000000010000000001111111111000000000000000000000000000001 +0 884 0001000000000010000000001111111111000000000000000000000000000001 +0 885 0001000000000010000000001111111111000000000000000000000000000001 +0 886 0001000000000010000000001111111111000000000000000000000000000001 +0 887 0001000000000010000000001111111111000000000000000000000000000001 +0 888 0001000000000010000000001111111111000000000000000000000000000001 +0 889 0001000000000010000000001111111111000000000000000000000000000001 +0 890 0001000000000010000000001111111111000000000000000000000000000001 +0 891 0001000000000010000000001111111111000000000000000000000000000001 +0 892 0001000000000010000000001111111111000000000000000000000000000001 +0 893 0001000000000010000000001111111111000000000000000000000000000001 +0 894 0001000000000010000000001111111111000000000000000000000000000001 +0 895 0001000000000010000000001111111111000000000000000000000000000001 +0 896 0001000000000010000000001111111111000000000000000000000000000001 +0 897 0001000000000010000000001111111111000000000000000000000000000001 +0 898 0001000000000010000000001111111111000000000000000000000000000001 +0 899 0001000000000010000000001111111111000000000000000000000000000001 +0 900 0001000000000010000000001111111111000000000000000000000000000001 +0 901 0001000000000010000000001111111111000000000000000000000000000001 +0 902 0001000000000010000000001111111111000000000000000000000000000001 +0 903 0001000000000010000000001111111111000000000000000000000000000001 +0 904 0001000000000010000000001111111111000000000000000000000000000001 +0 905 0001000000000010000000001111111111000000000000000000000000000001 +0 906 0001000000000010000000001111111111000000000000000000000000000001 +0 907 0001000000000010000000001111111111000000000000000000000000000001 +0 908 0001000000000010000000001111111111000000000000000000000000000001 +0 909 0001000000000010000000001111111111000000000000000000000000000001 +0 910 0001000000000010000000001111111111000000000000000000000000000001 +0 911 0001000000000010000000001111111111000000000000000000000000000001 +0 912 0001000000000010000000001111111111000000000000000000000000000001 +0 913 0001000000000010000000001111111111000000000000000000000000000001 +0 914 0001000000000010000000001111111111000000000000000000000000000001 +0 915 0001000000000010000000001111111111000000000000000000000000000001 +0 916 0001000000000010000000001111111111000000000000000000000000000001 +0 917 0001000000000010000000001111111111000000000000000000000000000001 +0 918 0001000000000010000000001111111111000000000000000000000000000001 +0 919 0001000000000010000000001111111111000000000000000000000000000001 +0 920 0001000000000010000000001111111111000000000000000000000000000001 +0 921 0001000000000010000000001111111111000000000000000000000000000001 +0 922 0001000000000010000000001111111111000000000000000000000000000001 +0 923 0001000000000010000000001111111111000000000000000000000000000001 +0 924 0001000000000010000000001111111111000000000000000000000000000001 +0 925 0001000000000010000000001111111111000000000000000000000000000001 +0 926 0001000000000010000000001111111111000000000000000000000000000001 +0 927 0001000000000010000000001111111111000000000000000000000000000001 +0 928 0001000000000010000000001111111111000000000000000000000000000001 +0 929 0001000000000010000000001111111111000000000000000000000000000001 +0 930 0001000000000010000000001111111111000000000000000000000000000001 +0 931 0001000000000010000000001111111111000000000000000000000000000001 +0 932 0001000000000010000000001111111111000000000000000000000000000001 +0 933 0001000000000010000000001111111111000000000000000000000000000001 +0 934 0001000000000010000000001111111111000000000000000000000000000001 +0 935 0001000000000010000000001111111111000000000000000000000000000001 +0 936 0001000000000010000000001111111111000000000000000000000000000001 +0 937 0001000000000010000000001111111111000000000000000000000000000001 +0 938 0001000000000010000000001111111111000000000000000000000000000001 +0 939 0001000000000010000000001111111111000000000000000000000000000001 +0 940 0001000000000010000000001111111111000000000000000000000000000001 +0 941 0001000000000010000000001111111111000000000000000000000000000001 +0 942 0001000000000010000000001111111111000000000000000000000000000001 +0 943 0001000000000010000000001111111111000000000000000000000000000001 +0 944 0001000000000010000000001111111111000000000000000000000000000001 +0 945 0001000000000010000000001111111111000000000000000000000000000001 +0 946 0001000000000010000000001111111111000000000000000000000000000001 +0 947 0001000000000010000000001111111111000000000000000000000000000001 +0 948 0001000000000010000000001111111111000000000000000000000000000001 +0 949 0001000000000010000000001111111111000000000000000000000000000001 +0 950 0001000000000010000000001111111111000000000000000000000000000001 +0 951 0001000000000010000000001111111111000000000000000000000000000001 +0 952 0001000000000010000000001111111111000000000000000000000000000001 +0 953 0001000000000010000000001111111111000000000000000000000000000001 +0 954 0001000000000010000000001111111111000000000000000000000000000001 +0 955 0001000000000010000000001111111111000000000000000000000000000001 +0 956 0001000000000010000000001111111111000000000000000000000000000001 +0 957 0001000000000010000000001111111111000000000000000000000000000001 +0 958 0001000000000010000000001111111111000000000000000000000000000001 +0 959 0001000000000010000000001111111111000000000000000000000000000001 +0 960 0001000000000010000000001111111111000000000000000000000000000001 +0 961 0001000000000010000000001111111111000000000000000000000000000001 +0 962 0001000000000010000000001111111111000000000000000000000000000001 +0 963 0001000000000010000000001111111111000000000000000000000000000001 +0 964 0001000000000010000000001111111111000000000000000000000000000001 +0 965 0001000000000010000000001111111111000000000000000000000000000001 +0 966 0001000000000010000000001111111111000000000000000000000000000001 +0 967 0001000000000010000000001111111111000000000000000000000000000001 +0 968 0001000000000010000000001111111111000000000000000000000000000001 +0 969 0001000000000010000000001111111111000000000000000000000000000001 +0 970 0001000000000010000000001111111111000000000000000000000000000001 +0 971 0001000000000010000000001111111111000000000000000000000000000001 +0 972 0001000000000010000000001111111111000000000000000000000000000001 +0 973 0001000000000010000000001111111111000000000000000000000000000001 +0 974 0001000000000010000000001111111111000000000000000000000000000001 +0 975 0001000000000010000000001111111111000000000000000000000000000001 +0 976 0001000000000010000000001111111111000000000000000000000000000001 +0 977 0001000000000010000000001111111111000000000000000000000000000001 +0 978 0001000000000010000000001111111111000000000000000000000000000001 +0 979 0001000000000010000000001111111111000000000000000000000000000001 +0 980 0001000000000010000000001111111111000000000000000000000000000001 +0 981 0001000000000010000000001111111111000000000000000000000000000001 +0 982 0001000000000010000000001111111111000000000000000000000000000001 +0 983 0001000000000010000000001111111111000000000000000000000000000001 +0 984 0001000000000010000000001111111111000000000000000000000000000001 +0 985 0001000000000010000000001111111111000000000000000000000000000001 +0 986 0001000000000010000000001111111111000000000000000000000000000001 +0 987 0001000000000010000000001111111111000000000000000000000000000001 +0 988 0001000000000010000000001111111111000000000000000000000000000001 +0 989 0001000000000010000000001111111111000000000000000000000000000001 +0 990 0001000000000010000000001111111111000000000000000000000000000001 +0 991 0001000000000010000000001111111111000000000000000000000000000001 +0 992 0001000000000010000000001111111111000000000000000000000000000001 +0 993 0001000000000010000000001111111111000000000000000000000000000001 +0 994 0001000000000010000000001111111111000000000000000000000000000001 +0 995 0001000000000010000000001111111111000000000000000000000000000001 +0 996 0001000000000010000000001111111111000000000000000000000000000001 +0 997 0001000000000010000000001111111111000000000000000000000000000001 +0 998 0001000000000010000000001111111111000000000000000000000000000001 +0 999 0001000000000010000000001111111111000000000000000000000000000001 +0 1000 0001000000000010000000001111111111000000000000000000000000000001 +0 1001 0001000000000010000000001111111111000000000000000000000000000001 +0 1002 0001000000000010000000001111111111000000000000000000000000000001 +0 1003 0001000000000010000000001111111111000000000000000000000000000001 +0 1004 0001000000000010000000001111111111000000000000000000000000000001 +0 1005 0001000000000010000000001111111111000000000000000000000000000001 +0 1006 0001000000000010000000001111111111000000000000000000000000000001 +0 1007 0001000000000010000000001111111111000000000000000000000000000001 +0 1008 0001000000000010000000001111111111000000000000000000000000000001 +0 1009 0001000000000010000000001111111111000000000000000000000000000001 +0 1010 0001000000000010000000001111111111000000000000000000000000000001 +0 1011 0001000000000010000000001111111111000000000000000000000000000001 +0 1012 0001000000000010000000001111111111000000000000000000000000000001 +0 1013 0001000000000010000000001111111111000000000000000000000000000001 +0 1014 0001000000000010000000001111111111000000000000000000000000000001 +0 1015 0001000000000010000000001111111111000000000000000000000000000001 +0 1016 0001000000000010000000001111111111000000000000000000000000000001 +0 1017 0001000000000010000000001111111111000000000000000000000000000001 +0 1018 0001000000000010000000001111111111000000000000000000000000000001 +0 1019 0001000000000010000000001111111111000000000000000000000000000001 +0 1020 0001000000000010000000001111111111000000000000000000000000000001 +0 1021 0001000000000010000000001111111111000000000000000000000000000001 +0 1022 0001000000000010000000001111111111000000000000000000000000000001 +0 1023 0001000000000010000000001111111111000000000000000000000000000001 +0 44 0001000000000010000000001111111111000000000000000000000000000001 +0 45 0001000000000010000000001111111111000000000000000000000000000001 +0 172 0001000000000010000000001111111111000000000000000000000000000001 +0 173 0001000000000010000000001111111111000000000000000000000000000001 +0 330 0001000000000010000000001111111111000000000000000000000000000001 +0 331 0001000000000010000000001111111111000000000000000000000000000001 +0 362 0001000000000010000000001111111111000000000000000000000000000001 +0 363 0001000000000010000000001111111111000000000000000000000000000001 +0 428 0001000000000010000000001111111111000000000000000000000000000001 +0 429 0001000000000010000000001111111111000000000000000000000000000001 +0 778 0001000000000010000000001111111111000000000000000000000000000001 +0 779 0001000000000010000000001111111111000000000000000000000000000001 +0 810 0001000000000010000000001111111111000000000000000000000000000001 +0 811 0001000000000010000000001111111111000000000000000000000000000001 +0 842 0001000000000010000000001111111111000000000000000000000000000001 +0 843 0001000000000010000000001111111111000000000000000000000000000001 +0 874 0001000000000010000000001111111111000000000000000000000000000001 +0 875 0001000000000010000000001111111111000000000000000000000000000001 +0 46 0001000000000010000000001111111111000000000000000000000000000001 +0 47 0001000000000010000000001111111111000000000000000000000000000001 +0 174 0001000000000010000000001111111111000000000000000000000000000001 +0 175 0001000000000010000000001111111111000000000000000000000000000001 +0 192 0001000000000010110110111011111111000000000000000000000000000001 +0 193 0001000000000010110110111011111111000000000000000000000000000001 +0 194 0001000000000010110110111011111111000000000000000000000000000001 +0 195 0001000000000010110110111011111111000000000000000000000000000001 +0 196 0001000000000010110110111011111111000000000000000000000000000001 +0 197 0001000000000010110110111011111111000000000000000000000000000001 +0 198 0001000000000010110110111011111111000000000000000000000000000001 +0 199 0001000000000010110110111011111111000000000000000000000000000001 +0 200 0001000000000010110110111011111111000000000000000000000000000001 +0 201 0001000000000010110110111011111111000000000000000000000000000001 +0 202 0001000000000010110110111011111111000000000000000000000000000001 +0 203 0001000000000010110110111011111111000000000000000000000000000001 +0 204 0001000000000010110110111011111111000000000000000000000000000001 +0 205 0001000000000010110110111011111111000000000000000000000000000001 +0 206 0001000000000010110110111011111111000000000000000000000000000001 +0 207 0001000000000010110110111011111111000000000000000000000000000001 +0 208 0001000000000010110110111011111111000000000000000000000000000001 +0 209 0001000000000010110110111011111111000000000000000000000000000001 +0 210 0001000000000010110110111011111111000000000000000000000000000001 +0 211 0001000000000010110110111011111111000000000000000000000000000001 +0 212 0001000000000010110110111011111111000000000000000000000000000001 +0 213 0001000000000010110110111011111111000000000000000000000000000001 +0 214 0001000000000010110110111011111111000000000000000000000000000001 +0 215 0001000000000010110110111011111111000000000000000000000000000001 +0 216 0001000000000010110110111011111111000000000000000000000000000001 +0 217 0001000000000010110110111011111111000000000000000000000000000001 +0 218 0001000000000010110110111011111111000000000000000000000000000001 +0 219 0001000000000010110110111011111111000000000000000000000000000001 +0 220 0001000000000010110110111011111111000000000000000000000000000001 +0 221 0001000000000010110110111011111111000000000000000000000000000001 +0 222 0001000000000010110110111011111111000000000000000000000000000001 +0 223 0001000000000010110110111011111111000000000000000000000000000001 +0 224 0001000000000010110110111011111111000000000000000000000000000001 +0 225 0001000000000010110110111011111111000000000000000000000000000001 +0 226 0001000000000010110110111011111111000000000000000000000000000001 +0 227 0001000000000010110110111011111111000000000000000000000000000001 +0 228 0001000000000010110110111011111111000000000000000000000000000001 +0 229 0001000000000010110110111011111111000000000000000000000000000001 +0 230 0001000000000010110110111011111111000000000000000000000000000001 +0 231 0001000000000010110110111011111111000000000000000000000000000001 +0 232 0001000000000010110110111011111111000000000000000000000000000001 +0 233 0001000000000010110110111011111111000000000000000000000000000001 +0 234 0001000000000010110110111011111111000000000000000000000000000001 +0 235 0001000000000010110110111011111111000000000000000000000000000001 +0 236 0001000000000010110110111011111111000000000000000000000000000001 +0 237 0001000000000010110110111011111111000000000000000000000000000001 +0 238 0001000000000010110110111011111111000000000000000000000000000001 +0 239 0001000000000010110110111011111111000000000000000000000000000001 +0 240 0001000000000010110110111011111111000000000000000000000000000001 +0 241 0001000000000010110110111011111111000000000000000000000000000001 +0 242 0001000000000010110110111011111111000000000000000000000000000001 +0 243 0001000000000010110110111011111111000000000000000000000000000001 +0 244 0001000000000010110110111011111111000000000000000000000000000001 +0 245 0001000000000010110110111011111111000000000000000000000000000001 +0 246 0001000000000010110110111011111111000000000000000000000000000001 +0 247 0001000000000010110110111011111111000000000000000000000000000001 +0 248 0001000000000010110110111011111111000000000000000000000000000001 +0 249 0001000000000010110110111011111111000000000000000000000000000001 +0 250 0001000000000010110110111011111111000000000000000000000000000001 +0 251 0001000000000010110110111011111111000000000000000000000000000001 +0 252 0001000000000010110110111011111111000000000000000000000000000001 +0 253 0001000000000010110110111011111111000000000000000000000000000001 +0 254 0001000000000010110110111011111111000000000000000000000000000001 +0 255 0001000000000010110110111011111111000000000000000000000000000001 +0 328 0001000000000010000000001111111111000000000000000000000000000001 +0 329 0001000000000010000000001111111111000000000000000000000000000001 +0 360 0001000000000010000000001111111111000000000000000000000000000001 +0 361 0001000000000010000000001111111111000000000000000000000000000001 +0 430 0001000000000010000000001111111111000000000000000000000000000001 +0 431 0001000000000010000000001111111111000000000000000000000000000001 +0 448 0001000000000010110110111011111111000000000000000000000000000001 +0 449 0001000000000010110110111011111111000000000000000000000000000001 +0 450 0001000000000010110110111011111111000000000000000000000000000001 +0 451 0001000000000010110110111011111111000000000000000000000000000001 +0 452 0001000000000010110110111011111111000000000000000000000000000001 +0 453 0001000000000010110110111011111111000000000000000000000000000001 +0 454 0001000000000010110110111011111111000000000000000000000000000001 +0 455 0001000000000010110110111011111111000000000000000000000000000001 +0 456 0001000000000010110110111011111111000000000000000000000000000001 +0 457 0001000000000010110110111011111111000000000000000000000000000001 +0 458 0001000000000010110110111011111111000000000000000000000000000001 +0 459 0001000000000010110110111011111111000000000000000000000000000001 +0 460 0001000000000010110110111011111111000000000000000000000000000001 +0 461 0001000000000010110110111011111111000000000000000000000000000001 +0 462 0001000000000010110110111011111111000000000000000000000000000001 +0 463 0001000000000010110110111011111111000000000000000000000000000001 +0 464 0001000000000010110110111011111111000000000000000000000000000001 +0 465 0001000000000010110110111011111111000000000000000000000000000001 +0 466 0001000000000010110110111011111111000000000000000000000000000001 +0 467 0001000000000010110110111011111111000000000000000000000000000001 +0 468 0001000000000010110110111011111111000000000000000000000000000001 +0 469 0001000000000010110110111011111111000000000000000000000000000001 +0 470 0001000000000010110110111011111111000000000000000000000000000001 +0 471 0001000000000010110110111011111111000000000000000000000000000001 +0 472 0001000000000010110110111011111111000000000000000000000000000001 +0 473 0001000000000010110110111011111111000000000000000000000000000001 +0 474 0001000000000010110110111011111111000000000000000000000000000001 +0 475 0001000000000010110110111011111111000000000000000000000000000001 +0 476 0001000000000010110110111011111111000000000000000000000000000001 +0 477 0001000000000010110110111011111111000000000000000000000000000001 +0 478 0001000000000010110110111011111111000000000000000000000000000001 +0 479 0001000000000010110110111011111111000000000000000000000000000001 +0 480 0001000000000010110110111011111111000000000000000000000000000001 +0 481 0001000000000010110110111011111111000000000000000000000000000001 +0 482 0001000000000010110110111011111111000000000000000000000000000001 +0 483 0001000000000010110110111011111111000000000000000000000000000001 +0 484 0001000000000010110110111011111111000000000000000000000000000001 +0 485 0001000000000010110110111011111111000000000000000000000000000001 +0 486 0001000000000010110110111011111111000000000000000000000000000001 +0 487 0001000000000010110110111011111111000000000000000000000000000001 +0 488 0001000000000010110110111011111111000000000000000000000000000001 +0 489 0001000000000010110110111011111111000000000000000000000000000001 +0 490 0001000000000010110110111011111111000000000000000000000000000001 +0 491 0001000000000010110110111011111111000000000000000000000000000001 +0 492 0001000000000010110110111011111111000000000000000000000000000001 +0 493 0001000000000010110110111011111111000000000000000000000000000001 +0 494 0001000000000010110110111011111111000000000000000000000000000001 +0 495 0001000000000010110110111011111111000000000000000000000000000001 +0 496 0001000000000010110110111011111111000000000000000000000000000001 +0 497 0001000000000010110110111011111111000000000000000000000000000001 +0 498 0001000000000010110110111011111111000000000000000000000000000001 +0 499 0001000000000010110110111011111111000000000000000000000000000001 +0 500 0001000000000010110110111011111111000000000000000000000000000001 +0 501 0001000000000010110110111011111111000000000000000000000000000001 +0 502 0001000000000010110110111011111111000000000000000000000000000001 +0 503 0001000000000010110110111011111111000000000000000000000000000001 +0 504 0001000000000010110110111011111111000000000000000000000000000001 +0 505 0001000000000010110110111011111111000000000000000000000000000001 +0 506 0001000000000010110110111011111111000000000000000000000000000001 +0 507 0001000000000010110110111011111111000000000000000000000000000001 +0 508 0001000000000010110110111011111111000000000000000000000000000001 +0 509 0001000000000010110110111011111111000000000000000000000000000001 +0 510 0001000000000010110110111011111111000000000000000000000000000001 +0 511 0001000000000010110110111011111111000000000000000000000000000001 +0 640 0001000000000010110110111011111111000000000000000000000000000001 +0 641 0001000000000010110110111011111111000000000000000000000000000001 +0 642 0001000000000010110110111011111111000000000000000000000000000001 +0 643 0001000000000010110110111011111111000000000000000000000000000001 +0 644 0001000000000010110110111011111111000000000000000000000000000001 +0 645 0001000000000010110110111011111111000000000000000000000000000001 +0 646 0001000000000010110110111011111111000000000000000000000000000001 +0 647 0001000000000010110110111011111111000000000000000000000000000001 +0 648 0001000000000010110110111011111111000000000000000000000000000001 +0 649 0001000000000010110110111011111111000000000000000000000000000001 +0 650 0001000000000010110110111011111111000000000000000000000000000001 +0 651 0001000000000010110110111011111111000000000000000000000000000001 +0 652 0001000000000010110110111011111111000000000000000000000000000001 +0 653 0001000000000010110110111011111111000000000000000000000000000001 +0 654 0001000000000010110110111011111111000000000000000000000000000001 +0 655 0001000000000010110110111011111111000000000000000000000000000001 +0 656 0001000000000010110110111011111111000000000000000000000000000001 +0 657 0001000000000010110110111011111111000000000000000000000000000001 +0 658 0001000000000010110110111011111111000000000000000000000000000001 +0 659 0001000000000010110110111011111111000000000000000000000000000001 +0 660 0001000000000010110110111011111111000000000000000000000000000001 +0 661 0001000000000010110110111011111111000000000000000000000000000001 +0 662 0001000000000010110110111011111111000000000000000000000000000001 +0 663 0001000000000010110110111011111111000000000000000000000000000001 +0 664 0001000000000010110110111011111111000000000000000000000000000001 +0 665 0001000000000010110110111011111111000000000000000000000000000001 +0 666 0001000000000010110110111011111111000000000000000000000000000001 +0 667 0001000000000010110110111011111111000000000000000000000000000001 +0 668 0001000000000010110110111011111111000000000000000000000000000001 +0 669 0001000000000010110110111011111111000000000000000000000000000001 +0 670 0001000000000010110110111011111111000000000000000000000000000001 +0 671 0001000000000010110110111011111111000000000000000000000000000001 +0 672 0001000000000010110110111011111111000000000000000000000000000001 +0 673 0001000000000010110110111011111111000000000000000000000000000001 +0 674 0001000000000010110110111011111111000000000000000000000000000001 +0 675 0001000000000010110110111011111111000000000000000000000000000001 +0 676 0001000000000010110110111011111111000000000000000000000000000001 +0 677 0001000000000010110110111011111111000000000000000000000000000001 +0 678 0001000000000010110110111011111111000000000000000000000000000001 +0 679 0001000000000010110110111011111111000000000000000000000000000001 +0 680 0001000000000010110110111011111111000000000000000000000000000001 +0 681 0001000000000010110110111011111111000000000000000000000000000001 +0 682 0001000000000010110110111011111111000000000000000000000000000001 +0 683 0001000000000010110110111011111111000000000000000000000000000001 +0 684 0001000000000010110110111011111111000000000000000000000000000001 +0 685 0001000000000010110110111011111111000000000000000000000000000001 +0 686 0001000000000010110110111011111111000000000000000000000000000001 +0 687 0001000000000010110110111011111111000000000000000000000000000001 +0 688 0001000000000010110110111011111111000000000000000000000000000001 +0 689 0001000000000010110110111011111111000000000000000000000000000001 +0 690 0001000000000010110110111011111111000000000000000000000000000001 +0 691 0001000000000010110110111011111111000000000000000000000000000001 +0 692 0001000000000010110110111011111111000000000000000000000000000001 +0 693 0001000000000010110110111011111111000000000000000000000000000001 +0 694 0001000000000010110110111011111111000000000000000000000000000001 +0 695 0001000000000010110110111011111111000000000000000000000000000001 +0 696 0001000000000010110110111011111111000000000000000000000000000001 +0 697 0001000000000010110110111011111111000000000000000000000000000001 +0 698 0001000000000010110110111011111111000000000000000000000000000001 +0 699 0001000000000010110110111011111111000000000000000000000000000001 +0 700 0001000000000010110110111011111111000000000000000000000000000001 +0 701 0001000000000010110110111011111111000000000000000000000000000001 +0 702 0001000000000010110110111011111111000000000000000000000000000001 +0 703 0001000000000010110110111011111111000000000000000000000000000001 +0 704 0001000000000010110110111011111111000000000000000000000000000001 +0 705 0001000000000010110110111011111111000000000000000000000000000001 +0 706 0001000000000010110110111011111111000000000000000000000000000001 +0 707 0001000000000010110110111011111111000000000000000000000000000001 +0 708 0001000000000010110110111011111111000000000000000000000000000001 +0 709 0001000000000010110110111011111111000000000000000000000000000001 +0 710 0001000000000010110110111011111111000000000000000000000000000001 +0 711 0001000000000010110110111011111111000000000000000000000000000001 +0 712 0001000000000010110110111011111111000000000000000000000000000001 +0 713 0001000000000010110110111011111111000000000000000000000000000001 +0 714 0001000000000010110110111011111111000000000000000000000000000001 +0 715 0001000000000010110110111011111111000000000000000000000000000001 +0 716 0001000000000010110110111011111111000000000000000000000000000001 +0 717 0001000000000010110110111011111111000000000000000000000000000001 +0 718 0001000000000010110110111011111111000000000000000000000000000001 +0 719 0001000000000010110110111011111111000000000000000000000000000001 +0 720 0001000000000010110110111011111111000000000000000000000000000001 +0 721 0001000000000010110110111011111111000000000000000000000000000001 +0 722 0001000000000010110110111011111111000000000000000000000000000001 +0 723 0001000000000010110110111011111111000000000000000000000000000001 +0 724 0001000000000010110110111011111111000000000000000000000000000001 +0 725 0001000000000010110110111011111111000000000000000000000000000001 +0 726 0001000000000010110110111011111111000000000000000000000000000001 +0 727 0001000000000010110110111011111111000000000000000000000000000001 +0 728 0001000000000010110110111011111111000000000000000000000000000001 +0 729 0001000000000010110110111011111111000000000000000000000000000001 +0 730 0001000000000010110110111011111111000000000000000000000000000001 +0 731 0001000000000010110110111011111111000000000000000000000000000001 +0 732 0001000000000010110110111011111111000000000000000000000000000001 +0 733 0001000000000010110110111011111111000000000000000000000000000001 +0 734 0001000000000010110110111011111111000000000000000000000000000001 +0 735 0001000000000010110110111011111111000000000000000000000000000001 +0 736 0001000000000010110110111011111111000000000000000000000000000001 +0 737 0001000000000010110110111011111111000000000000000000000000000001 +0 738 0001000000000010110110111011111111000000000000000000000000000001 +0 739 0001000000000010110110111011111111000000000000000000000000000001 +0 740 0001000000000010110110111011111111000000000000000000000000000001 +0 741 0001000000000010110110111011111111000000000000000000000000000001 +0 742 0001000000000010110110111011111111000000000000000000000000000001 +0 743 0001000000000010110110111011111111000000000000000000000000000001 +0 744 0001000000000010110110111011111111000000000000000000000000000001 +0 745 0001000000000010110110111011111111000000000000000000000000000001 +0 746 0001000000000010110110111011111111000000000000000000000000000001 +0 747 0001000000000010110110111011111111000000000000000000000000000001 +0 748 0001000000000010110110111011111111000000000000000000000000000001 +0 749 0001000000000010110110111011111111000000000000000000000000000001 +0 750 0001000000000010110110111011111111000000000000000000000000000001 +0 751 0001000000000010110110111011111111000000000000000000000000000001 +0 752 0001000000000010110110111011111111000000000000000000000000000001 +0 753 0001000000000010110110111011111111000000000000000000000000000001 +0 754 0001000000000010110110111011111111000000000000000000000000000001 +0 755 0001000000000010110110111011111111000000000000000000000000000001 +0 756 0001000000000010110110111011111111000000000000000000000000000001 +0 757 0001000000000010110110111011111111000000000000000000000000000001 +0 758 0001000000000010110110111011111111000000000000000000000000000001 +0 759 0001000000000010110110111011111111000000000000000000000000000001 +0 760 0001000000000010110110111011111111000000000000000000000000000001 +0 761 0001000000000010110110111011111111000000000000000000000000000001 +0 762 0001000000000010110110111011111111000000000000000000000000000001 +0 763 0001000000000010110110111011111111000000000000000000000000000001 +0 764 0001000000000010110110111011111111000000000000000000000000000001 +0 765 0001000000000010110110111011111111000000000000000000000000000001 +0 766 0001000000000010110110111011111111000000000000000000000000000001 +0 767 0001000000000010110110111011111111000000000000000000000000000001 +0 776 0001000000000010000000001111111111000000000000000000000000000001 +0 777 0001000000000010000000001111111111000000000000000000000000000001 +0 808 0001000000000010000000001111111111000000000000000000000000000001 +0 809 0001000000000010000000001111111111000000000000000000000000000001 +0 840 0001000000000010000000001111111111000000000000000000000000000001 +0 841 0001000000000010000000001111111111000000000000000000000000000001 +0 872 0001000000000010000000001111111111000000000000000000000000000001 +0 873 0001000000000010000000001111111111000000000000000000000000000001 +0 896 0001000000000010110110111011111111000000000000000000000000000001 +0 897 0001000000000010110110111011111111000000000000000000000000000001 +0 898 0001000000000010110110111011111111000000000000000000000000000001 +0 899 0001000000000010110110111011111111000000000000000000000000000001 +0 900 0001000000000010110110111011111111000000000000000000000000000001 +0 901 0001000000000010110110111011111111000000000000000000000000000001 +0 902 0001000000000010110110111011111111000000000000000000000000000001 +0 903 0001000000000010110110111011111111000000000000000000000000000001 +0 904 0001000000000010110110111011111111000000000000000000000000000001 +0 905 0001000000000010110110111011111111000000000000000000000000000001 +0 906 0001000000000010110110111011111111000000000000000000000000000001 +0 907 0001000000000010110110111011111111000000000000000000000000000001 +0 908 0001000000000010110110111011111111000000000000000000000000000001 +0 909 0001000000000010110110111011111111000000000000000000000000000001 +0 910 0001000000000010110110111011111111000000000000000000000000000001 +0 911 0001000000000010110110111011111111000000000000000000000000000001 +0 912 0001000000000010110110111011111111000000000000000000000000000001 +0 913 0001000000000010110110111011111111000000000000000000000000000001 +0 914 0001000000000010110110111011111111000000000000000000000000000001 +0 915 0001000000000010110110111011111111000000000000000000000000000001 +0 916 0001000000000010110110111011111111000000000000000000000000000001 +0 917 0001000000000010110110111011111111000000000000000000000000000001 +0 918 0001000000000010110110111011111111000000000000000000000000000001 +0 919 0001000000000010110110111011111111000000000000000000000000000001 +0 920 0001000000000010110110111011111111000000000000000000000000000001 +0 921 0001000000000010110110111011111111000000000000000000000000000001 +0 922 0001000000000010110110111011111111000000000000000000000000000001 +0 923 0001000000000010110110111011111111000000000000000000000000000001 +0 924 0001000000000010110110111011111111000000000000000000000000000001 +0 925 0001000000000010110110111011111111000000000000000000000000000001 +0 926 0001000000000010110110111011111111000000000000000000000000000001 +0 927 0001000000000010110110111011111111000000000000000000000000000001 +0 928 0001000000000010110110111011111111000000000000000000000000000001 +0 929 0001000000000010110110111011111111000000000000000000000000000001 +0 930 0001000000000010110110111011111111000000000000000000000000000001 +0 931 0001000000000010110110111011111111000000000000000000000000000001 +0 932 0001000000000010110110111011111111000000000000000000000000000001 +0 933 0001000000000010110110111011111111000000000000000000000000000001 +0 934 0001000000000010110110111011111111000000000000000000000000000001 +0 935 0001000000000010110110111011111111000000000000000000000000000001 +0 936 0001000000000010110110111011111111000000000000000000000000000001 +0 937 0001000000000010110110111011111111000000000000000000000000000001 +0 938 0001000000000010110110111011111111000000000000000000000000000001 +0 939 0001000000000010110110111011111111000000000000000000000000000001 +0 940 0001000000000010110110111011111111000000000000000000000000000001 +0 941 0001000000000010110110111011111111000000000000000000000000000001 +0 942 0001000000000010110110111011111111000000000000000000000000000001 +0 943 0001000000000010110110111011111111000000000000000000000000000001 +0 944 0001000000000010110110111011111111000000000000000000000000000001 +0 945 0001000000000010110110111011111111000000000000000000000000000001 +0 946 0001000000000010110110111011111111000000000000000000000000000001 +0 947 0001000000000010110110111011111111000000000000000000000000000001 +0 948 0001000000000010110110111011111111000000000000000000000000000001 +0 949 0001000000000010110110111011111111000000000000000000000000000001 +0 950 0001000000000010110110111011111111000000000000000000000000000001 +0 951 0001000000000010110110111011111111000000000000000000000000000001 +0 952 0001000000000010110110111011111111000000000000000000000000000001 +0 953 0001000000000010110110111011111111000000000000000000000000000001 +0 954 0001000000000010110110111011111111000000000000000000000000000001 +0 955 0001000000000010110110111011111111000000000000000000000000000001 +0 956 0001000000000010110110111011111111000000000000000000000000000001 +0 957 0001000000000010110110111011111111000000000000000000000000000001 +0 958 0001000000000010110110111011111111000000000000000000000000000001 +0 959 0001000000000010110110111011111111000000000000000000000000000001 +0 960 0001000000000010110110111011111111000000000000000000000000000001 +0 961 0001000000000010110110111011111111000000000000000000000000000001 +0 962 0001000000000010110110111011111111000000000000000000000000000001 +0 963 0001000000000010110110111011111111000000000000000000000000000001 +0 964 0001000000000010110110111011111111000000000000000000000000000001 +0 965 0001000000000010110110111011111111000000000000000000000000000001 +0 966 0001000000000010110110111011111111000000000000000000000000000001 +0 967 0001000000000010110110111011111111000000000000000000000000000001 +0 968 0001000000000010110110111011111111000000000000000000000000000001 +0 969 0001000000000010110110111011111111000000000000000000000000000001 +0 970 0001000000000010110110111011111111000000000000000000000000000001 +0 971 0001000000000010110110111011111111000000000000000000000000000001 +0 972 0001000000000010110110111011111111000000000000000000000000000001 +0 973 0001000000000010110110111011111111000000000000000000000000000001 +0 974 0001000000000010110110111011111111000000000000000000000000000001 +0 975 0001000000000010110110111011111111000000000000000000000000000001 +0 976 0001000000000010110110111011111111000000000000000000000000000001 +0 977 0001000000000010110110111011111111000000000000000000000000000001 +0 978 0001000000000010110110111011111111000000000000000000000000000001 +0 979 0001000000000010110110111011111111000000000000000000000000000001 +0 980 0001000000000010110110111011111111000000000000000000000000000001 +0 981 0001000000000010110110111011111111000000000000000000000000000001 +0 982 0001000000000010110110111011111111000000000000000000000000000001 +0 983 0001000000000010110110111011111111000000000000000000000000000001 +0 984 0001000000000010110110111011111111000000000000000000000000000001 +0 985 0001000000000010110110111011111111000000000000000000000000000001 +0 986 0001000000000010110110111011111111000000000000000000000000000001 +0 987 0001000000000010110110111011111111000000000000000000000000000001 +0 988 0001000000000010110110111011111111000000000000000000000000000001 +0 989 0001000000000010110110111011111111000000000000000000000000000001 +0 990 0001000000000010110110111011111111000000000000000000000000000001 +0 991 0001000000000010110110111011111111000000000000000000000000000001 +0 992 0001000000000010110110111011111111000000000000000000000000000001 +0 993 0001000000000010110110111011111111000000000000000000000000000001 +0 994 0001000000000010110110111011111111000000000000000000000000000001 +0 995 0001000000000010110110111011111111000000000000000000000000000001 +0 996 0001000000000010110110111011111111000000000000000000000000000001 +0 997 0001000000000010110110111011111111000000000000000000000000000001 +0 998 0001000000000010110110111011111111000000000000000000000000000001 +0 999 0001000000000010110110111011111111000000000000000000000000000001 +0 1000 0001000000000010110110111011111111000000000000000000000000000001 +0 1001 0001000000000010110110111011111111000000000000000000000000000001 +0 1002 0001000000000010110110111011111111000000000000000000000000000001 +0 1003 0001000000000010110110111011111111000000000000000000000000000001 +0 1004 0001000000000010110110111011111111000000000000000000000000000001 +0 1005 0001000000000010110110111011111111000000000000000000000000000001 +0 1006 0001000000000010110110111011111111000000000000000000000000000001 +0 1007 0001000000000010110110111011111111000000000000000000000000000001 +0 1008 0001000000000010110110111011111111000000000000000000000000000001 +0 1009 0001000000000010110110111011111111000000000000000000000000000001 +0 1010 0001000000000010110110111011111111000000000000000000000000000001 +0 1011 0001000000000010110110111011111111000000000000000000000000000001 +0 1012 0001000000000010110110111011111111000000000000000000000000000001 +0 1013 0001000000000010110110111011111111000000000000000000000000000001 +0 1014 0001000000000010110110111011111111000000000000000000000000000001 +0 1015 0001000000000010110110111011111111000000000000000000000000000001 +0 1016 0001000000000010110110111011111111000000000000000000000000000001 +0 1017 0001000000000010110110111011111111000000000000000000000000000001 +0 1018 0001000000000010110110111011111111000000000000000000000000000001 +0 1019 0001000000000010110110111011111111000000000000000000000000000001 +0 1020 0001000000000010110110111011111111000000000000000000000000000001 +0 1021 0001000000000010110110111011111111000000000000000000000000000001 +0 1022 0001000000000010110110111011111111000000000000000000000000000001 +0 1023 0001000000000010110110111011111111000000000000000000000000000001 +0 128 0001000000000010110110111011111111000000000000000000000000000001 +0 129 0001000000000010110110111011111111000000000000000000000000000001 +0 130 0001000000000010110110111011111111000000000000000000000000000001 +0 131 0001000000000010110110111011111111000000000000000000000000000001 +0 132 0001000000000010110110111011111111000000000000000000000000000001 +0 133 0001000000000010110110111011111111000000000000000000000000000001 +0 134 0001000000000010110110111011111111000000000000000000000000000001 +0 135 0001000000000010110110111011111111000000000000000000000000000001 +0 136 0001000000000010110110111011111111000000000000000000000000000001 +0 137 0001000000000010110110111011111111000000000000000000000000000001 +0 138 0001000000000010110110111011111111000000000000000000000000000001 +0 139 0001000000000010110110111011111111000000000000000000000000000001 +0 140 0001000000000010110110111011111111000000000000000000000000000001 +0 141 0001000000000010110110111011111111000000000000000000000000000001 +0 142 0001000000000010110110111011111111000000000000000000000000000001 +0 143 0001000000000010110110111011111111000000000000000000000000000001 +0 144 0001000000000010110110111011111111000000000000000000000000000001 +0 145 0001000000000010110110111011111111000000000000000000000000000001 +0 146 0001000000000010110110111011111111000000000000000000000000000001 +0 147 0001000000000010110110111011111111000000000000000000000000000001 +0 148 0001000000000010110110111011111111000000000000000000000000000001 +0 149 0001000000000010110110111011111111000000000000000000000000000001 +0 150 0001000000000010110110111011111111000000000000000000000000000001 +0 151 0001000000000010110110111011111111000000000000000000000000000001 +0 152 0001000000000010110110111011111111000000000000000000000000000001 +0 153 0001000000000010110110111011111111000000000000000000000000000001 +0 154 0001000000000010110110111011111111000000000000000000000000000001 +0 155 0001000000000010110110111011111111000000000000000000000000000001 +0 156 0001000000000010110110111011111111000000000000000000000000000001 +0 157 0001000000000010110110111011111111000000000000000000000000000001 +0 158 0001000000000010110110111011111111000000000000000000000000000001 +0 159 0001000000000010110110111011111111000000000000000000000000000001 +0 160 0001000000000010110110111011111111000000000000000000000000000001 +0 161 0001000000000010110110111011111111000000000000000000000000000001 +0 162 0001000000000010110110111011111111000000000000000000000000000001 +0 163 0001000000000010110110111011111111000000000000000000000000000001 +0 164 0001000000000010110110111011111111000000000000000000000000000001 +0 165 0001000000000010110110111011111111000000000000000000000000000001 +0 166 0001000000000010110110111011111111000000000000000000000000000001 +0 167 0001000000000010110110111011111111000000000000000000000000000001 +0 168 0001000000000010110110111011111111000000000000000000000000000001 +0 169 0001000000000010110110111011111111000000000000000000000000000001 +0 170 0001000000000010110110111011111111000000000000000000000000000001 +0 171 0001000000000010110110111011111111000000000000000000000000000001 +0 176 0001000000000010110110111011111111000000000000000000000000000001 +0 177 0001000000000010110110111011111111000000000000000000000000000001 +0 178 0001000000000010110110111011111111000000000000000000000000000001 +0 179 0001000000000010110110111011111111000000000000000000000000000001 +0 180 0001000000000010110110111011111111000000000000000000000000000001 +0 181 0001000000000010110110111011111111000000000000000000000000000001 +0 182 0001000000000010110110111011111111000000000000000000000000000001 +0 183 0001000000000010110110111011111111000000000000000000000000000001 +0 184 0001000000000010110110111011111111000000000000000000000000000001 +0 185 0001000000000010110110111011111111000000000000000000000000000001 +0 186 0001000000000010110110111011111111000000000000000000000000000001 +0 187 0001000000000010110110111011111111000000000000000000000000000001 +0 188 0001000000000010110110111011111111000000000000000000000000000001 +0 189 0001000000000010110110111011111111000000000000000000000000000001 +0 190 0001000000000010110110111011111111000000000000000000000000000001 +0 191 0001000000000010110110111011111111000000000000000000000000000001 +0 256 0001000000000010000000001111111111000000000000000000000000000001 +0 257 0001000000000010000000001111111111000000000000000000000000000001 +0 258 0001000000000010000000001111111111000000000000000000000000000001 +0 259 0001000000000010000000001111111111000000000000000000000000000001 +0 260 0001000000000010000000001111111111000000000000000000000000000001 +0 261 0001000000000010000000001111111111000000000000000000000000000001 +0 262 0001000000000010000000001111111111000000000000000000000000000001 +0 263 0001000000000010000000001111111111000000000000000000000000000001 +0 264 0001000000000010000000001111111111000000000000000000000000000001 +0 265 0001000000000010000000001111111111000000000000000000000000000001 +0 266 0001000000000010000000001111111111000000000000000000000000000001 +0 267 0001000000000010000000001111111111000000000000000000000000000001 +0 268 0001000000000010000000001111111111000000000000000000000000000001 +0 269 0001000000000010000000001111111111000000000000000000000000000001 +0 270 0001000000000010000000001111111111000000000000000000000000000001 +0 271 0001000000000010000000001111111111000000000000000000000000000001 +0 288 0001000000000010000000001111111111000000000000000000000000000001 +0 289 0001000000000010000000001111111111000000000000000000000000000001 +0 290 0001000000000010000000001111111111000000000000000000000000000001 +0 291 0001000000000010000000001111111111000000000000000000000000000001 +0 292 0001000000000010000000001111111111000000000000000000000000000001 +0 293 0001000000000010000000001111111111000000000000000000000000000001 +0 294 0001000000000010000000001111111111000000000000000000000000000001 +0 295 0001000000000010000000001111111111000000000000000000000000000001 +0 296 0001000000000010000000001111111111000000000000000000000000000001 +0 297 0001000000000010000000001111111111000000000000000000000000000001 +0 298 0001000000000010000000001111111111000000000000000000000000000001 +0 299 0001000000000010000000001111111111000000000000000000000000000001 +0 300 0001000000000010000000001111111111000000000000000000000000000001 +0 301 0001000000000010000000001111111111000000000000000000000000000001 +0 302 0001000000000010000000001111111111000000000000000000000000000001 +0 303 0001000000000010000000001111111111000000000000000000000000000001 +0 384 0001000000000010110110111011111111000000000000000000000000000001 +0 385 0001000000000010110110111011111111000000000000000000000000000001 +0 386 0001000000000010110110111011111111000000000000000000000000000001 +0 387 0001000000000010110110111011111111000000000000000000000000000001 +0 388 0001000000000010110110111011111111000000000000000000000000000001 +0 389 0001000000000010110110111011111111000000000000000000000000000001 +0 390 0001000000000010110110111011111111000000000000000000000000000001 +0 391 0001000000000010110110111011111111000000000000000000000000000001 +0 392 0001000000000010110110111011111111000000000000000000000000000001 +0 393 0001000000000010110110111011111111000000000000000000000000000001 +0 394 0001000000000010110110111011111111000000000000000000000000000001 +0 395 0001000000000010110110111011111111000000000000000000000000000001 +0 396 0001000000000010110110111011111111000000000000000000000000000001 +0 397 0001000000000010110110111011111111000000000000000000000000000001 +0 398 0001000000000010110110111011111111000000000000000000000000000001 +0 399 0001000000000010110110111011111111000000000000000000000000000001 +0 400 0001000000000010110110111011111111000000000000000000000000000001 +0 401 0001000000000010110110111011111111000000000000000000000000000001 +0 402 0001000000000010110110111011111111000000000000000000000000000001 +0 403 0001000000000010110110111011111111000000000000000000000000000001 +0 404 0001000000000010110110111011111111000000000000000000000000000001 +0 405 0001000000000010110110111011111111000000000000000000000000000001 +0 406 0001000000000010110110111011111111000000000000000000000000000001 +0 407 0001000000000010110110111011111111000000000000000000000000000001 +0 408 0001000000000010110110111011111111000000000000000000000000000001 +0 409 0001000000000010110110111011111111000000000000000000000000000001 +0 410 0001000000000010110110111011111111000000000000000000000000000001 +0 411 0001000000000010110110111011111111000000000000000000000000000001 +0 412 0001000000000010110110111011111111000000000000000000000000000001 +0 413 0001000000000010110110111011111111000000000000000000000000000001 +0 414 0001000000000010110110111011111111000000000000000000000000000001 +0 415 0001000000000010110110111011111111000000000000000000000000000001 +0 416 0001000000000010110110111011111111000000000000000000000000000001 +0 417 0001000000000010110110111011111111000000000000000000000000000001 +0 418 0001000000000010110110111011111111000000000000000000000000000001 +0 419 0001000000000010110110111011111111000000000000000000000000000001 +0 420 0001000000000010110110111011111111000000000000000000000000000001 +0 421 0001000000000010110110111011111111000000000000000000000000000001 +0 422 0001000000000010110110111011111111000000000000000000000000000001 +0 423 0001000000000010110110111011111111000000000000000000000000000001 +0 424 0001000000000010110110111011111111000000000000000000000000000001 +0 425 0001000000000010110110111011111111000000000000000000000000000001 +0 426 0001000000000010110110111011111111000000000000000000000000000001 +0 427 0001000000000010110110111011111111000000000000000000000000000001 +0 432 0001000000000010110110111011111111000000000000000000000000000001 +0 433 0001000000000010110110111011111111000000000000000000000000000001 +0 434 0001000000000010110110111011111111000000000000000000000000000001 +0 435 0001000000000010110110111011111111000000000000000000000000000001 +0 436 0001000000000010110110111011111111000000000000000000000000000001 +0 437 0001000000000010110110111011111111000000000000000000000000000001 +0 438 0001000000000010110110111011111111000000000000000000000000000001 +0 439 0001000000000010110110111011111111000000000000000000000000000001 +0 440 0001000000000010110110111011111111000000000000000000000000000001 +0 441 0001000000000010110110111011111111000000000000000000000000000001 +0 442 0001000000000010110110111011111111000000000000000000000000000001 +0 443 0001000000000010110110111011111111000000000000000000000000000001 +0 444 0001000000000010110110111011111111000000000000000000000000000001 +0 445 0001000000000010110110111011111111000000000000000000000000000001 +0 446 0001000000000010110110111011111111000000000000000000000000000001 +0 447 0001000000000010110110111011111111000000000000000000000000000001 +0 172 0001000000000010110110111011111111000000000000000000000000000001 +0 173 0001000000000010110110111011111111000000000000000000000000000001 +0 428 0001000000000010110110111011111111000000000000000000000000000001 +0 429 0001000000000010110110111011111111000000000000000000000000000001 +0 174 0001000000000000001000001111111111000000000000000000000000000001 +0 175 0001000000000000001000001111111111000000000000000000000000000001 +0 430 0001000000000000001000001111111111000000000000000000000000000001 +0 431 0001000000000000001000001111111111000000000000000000000000000001 diff --git a/test/scripts/validate_results/test.log b/test/scripts/validate_results/test.log index 62df169..964e9a4 100644 --- a/test/scripts/validate_results/test.log +++ b/test/scripts/validate_results/test.log @@ -1,2145 +1,3611 @@ -0 75 0001000000000011100101100000000000000000000000000000000000000001 ----> passed! -0 787 0001000000000001110010100000000000000000000000000000000000000001 ----> passed! -0 0 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 2 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 3 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 4 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 5 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 6 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 7 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 8 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 9 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 10 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 11 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 12 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 13 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 14 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 15 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 16 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 17 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 18 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 19 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 20 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 21 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 22 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 23 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 24 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 25 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 26 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 27 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 28 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 29 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 30 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 31 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 32 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 33 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 34 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 35 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 36 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 37 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 38 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 39 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 40 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 41 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 42 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 43 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 44 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 45 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 46 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 47 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 48 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 49 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 50 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 51 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 52 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 53 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 54 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 55 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 56 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 57 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 58 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 59 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 60 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 61 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 62 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 63 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 64 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 65 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 66 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 67 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 68 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 69 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 70 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 71 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 72 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 73 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 74 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 76 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 77 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 78 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 79 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 80 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 81 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 82 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 83 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 84 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 85 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 86 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 87 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 88 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 89 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 90 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 91 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 92 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 93 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 94 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 95 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 96 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 97 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 98 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 99 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 100 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 101 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 102 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 103 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 104 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 105 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 106 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 107 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 108 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 109 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 110 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 111 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 112 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 113 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 114 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 115 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 116 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 117 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 118 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 119 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 120 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 121 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 122 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 123 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 124 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 125 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 126 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 127 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 128 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 129 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 130 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 131 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 132 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 133 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 134 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 135 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 136 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 137 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 138 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 139 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 140 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 141 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 142 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 143 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 144 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 145 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 146 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 147 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 148 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 149 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 150 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 151 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 152 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 153 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 154 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 155 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 156 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 157 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 158 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 159 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 160 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 161 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 162 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 163 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 164 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 165 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 166 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 167 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 168 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 169 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 170 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 171 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 172 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 173 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 174 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 175 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 176 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 177 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 178 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 179 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 180 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 181 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 182 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 183 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 184 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 185 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 186 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 187 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 188 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 189 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 190 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 191 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 192 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 193 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 194 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 195 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 196 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 197 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 198 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 199 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 200 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 201 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 202 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 203 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 204 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 205 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 206 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 207 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 208 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 209 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 210 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 211 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 212 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 213 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 214 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 215 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 216 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 217 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 218 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 219 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 220 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 221 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 222 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 223 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 224 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 225 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 226 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 227 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 228 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 229 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 230 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 231 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 232 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 233 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 234 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 235 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 236 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 237 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 238 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 239 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 240 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 241 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 242 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 243 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 244 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 245 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 246 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 247 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 248 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 249 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 250 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 251 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 252 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 253 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 254 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 255 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 256 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 257 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 258 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 259 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 260 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 261 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 262 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 263 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 264 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 265 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 266 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 267 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 268 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 269 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 270 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 271 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 272 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 273 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 274 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 275 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 276 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 277 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 278 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 279 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 280 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 281 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 282 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 283 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 284 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 285 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 286 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 287 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 288 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 289 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 290 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 291 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 292 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 293 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 294 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 295 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 296 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 297 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 298 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 299 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 300 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 301 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 302 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 303 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 304 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 305 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 306 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 307 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 308 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 309 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 310 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 311 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 312 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 313 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 314 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 315 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 316 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 317 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 318 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 319 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 320 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 321 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 322 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 323 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 324 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 325 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 326 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 327 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 328 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 329 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 330 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 331 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 332 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 333 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 334 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 335 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 336 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 337 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 338 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 339 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 340 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 341 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 342 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 343 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 344 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 345 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 346 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 347 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 348 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 349 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 350 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 351 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 352 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 353 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 354 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 355 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 356 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 357 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 358 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 359 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 360 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 361 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 362 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 363 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 364 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 365 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 366 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 367 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 368 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 369 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 370 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 371 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 372 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 373 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 374 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 375 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 376 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 377 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 378 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 379 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 380 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 381 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 382 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 383 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 384 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 385 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 386 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 387 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 388 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 389 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 390 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 391 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 392 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 393 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 394 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 395 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 396 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 397 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 398 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 399 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 400 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 401 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 402 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 403 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 404 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 405 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 406 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 407 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 408 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 409 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 410 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 411 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 412 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 413 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 414 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 415 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 416 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 417 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 418 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 419 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 420 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 421 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 422 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 423 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 424 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 425 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 426 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 427 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 428 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 429 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 430 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 431 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 432 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 433 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 434 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 435 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 436 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 437 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 438 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 439 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 440 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 441 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 442 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 443 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 444 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 445 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 446 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 447 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 448 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 449 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 450 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 451 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 452 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 453 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 454 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 455 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 456 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 457 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 458 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 459 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 460 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 461 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 462 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 463 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 464 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 465 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 466 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 467 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 468 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 469 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 470 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 471 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 472 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 473 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 474 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 475 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 476 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 477 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 478 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 479 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 480 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 481 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 482 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 483 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 484 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 485 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 486 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 487 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 488 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 489 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 490 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 491 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 492 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 493 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 494 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 495 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 496 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 497 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 498 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 499 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 500 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 501 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 502 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 503 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 504 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 505 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 506 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 507 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 508 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 509 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 510 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 511 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 512 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 513 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 514 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 515 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 516 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 517 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 518 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 519 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 520 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 521 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 522 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 523 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 524 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 525 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 526 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 527 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 528 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 529 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 530 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 531 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 532 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 533 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 534 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 535 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 536 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 537 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 538 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 539 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 540 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 541 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 542 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 543 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 544 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 545 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 546 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 547 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 548 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 549 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 550 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 551 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 552 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 553 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 554 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 555 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 556 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 557 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 558 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 559 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 560 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 561 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 562 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 563 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 564 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 565 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 566 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 567 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 568 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 569 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 570 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 571 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 572 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 573 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 574 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 575 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 576 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 577 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 578 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 579 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 580 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 581 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 582 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 583 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 584 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 585 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 586 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 587 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 588 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 589 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 590 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 591 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 592 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 593 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 594 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 595 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 596 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 597 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 598 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 599 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 600 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 601 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 602 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 603 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 604 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 605 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 606 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 607 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 608 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 609 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 610 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 611 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 612 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 613 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 614 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 615 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 616 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 617 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 618 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 619 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 620 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 621 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 622 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 623 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 624 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 625 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 626 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 627 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 628 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 629 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 630 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 631 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 632 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 633 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 634 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 635 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 636 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 637 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 638 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 639 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 640 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 641 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 642 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 643 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 644 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 645 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 646 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 647 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 648 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 649 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 650 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 651 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 652 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 653 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 654 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 655 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 656 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 657 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 658 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 659 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 660 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 661 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 662 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 663 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 664 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 665 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 666 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 667 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 668 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 669 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 670 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 671 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 672 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 673 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 674 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 675 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 676 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 677 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 678 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 679 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 680 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 681 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 682 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 683 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 684 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 685 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 686 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 687 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 688 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 689 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 690 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 691 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 692 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 693 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 694 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 695 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 696 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 697 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 698 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 699 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 700 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 701 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 702 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 703 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 704 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 705 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 706 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 707 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 708 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 709 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 710 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 711 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 712 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 713 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 714 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 715 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 716 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 717 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 718 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 719 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 720 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 721 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 722 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 723 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 724 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 725 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 726 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 727 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 728 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 729 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 730 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 731 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 732 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 733 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 734 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 735 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 736 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 737 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 738 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 739 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 740 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 741 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 742 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 743 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 744 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 745 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 746 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 747 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 748 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 749 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 750 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 751 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 752 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 753 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 754 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 755 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 756 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 757 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 758 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 759 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 760 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 761 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 762 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 763 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 764 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 765 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 766 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 767 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 768 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 769 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 770 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 771 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 772 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 773 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 774 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 775 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 776 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 777 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 778 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 779 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 780 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 781 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 782 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 783 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 784 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 785 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 786 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 788 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 789 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 790 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 791 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 792 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 793 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 794 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 795 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 796 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 797 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 798 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 799 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 800 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 801 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 802 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 803 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 804 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 805 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 806 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 807 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 808 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 809 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 810 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 811 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 812 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 813 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 814 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 815 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 816 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 817 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 818 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 819 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 820 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 821 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 822 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 823 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 824 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 825 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 826 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 827 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 828 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 829 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 830 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 831 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 832 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 833 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 834 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 835 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 836 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 837 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 838 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 839 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 840 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 841 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 842 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 843 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 844 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 845 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 846 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 847 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 848 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 849 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 850 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 851 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 852 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 853 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 854 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 855 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 856 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 857 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 858 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 859 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 860 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 861 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 862 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 863 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 864 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 865 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 866 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 867 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 868 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 869 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 870 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 871 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 872 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 873 0001000000000011001011101010001001000000000000000000000000000001 ----> passed! -0 874 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 875 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 876 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 877 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 878 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 879 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 880 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 881 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 882 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 883 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 884 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 885 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 886 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 887 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 888 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 889 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 890 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 891 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 892 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 893 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 894 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 895 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 896 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 897 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 898 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 899 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 900 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 901 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 902 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 903 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 904 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 905 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 906 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 907 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 908 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 909 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 910 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 911 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 912 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 913 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 914 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 915 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 916 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 917 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 918 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 919 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 920 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 921 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 922 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 923 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 924 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 925 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 926 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 927 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 928 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 929 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 930 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 931 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 932 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 933 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 934 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 935 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 936 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 937 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 938 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 939 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 940 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 941 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 942 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 943 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 944 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 945 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 946 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 947 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 948 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 949 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 950 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 951 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 952 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 953 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 954 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 955 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 956 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 957 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 958 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 959 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 960 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 961 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 962 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 963 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 964 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 965 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 966 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 967 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 968 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 969 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 970 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 971 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 972 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 973 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 974 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 975 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 976 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 977 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 978 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 979 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 980 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 981 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 982 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 983 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 984 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 985 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 986 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 987 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 988 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 989 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 990 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 991 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 992 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 993 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 994 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 995 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 996 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 997 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 998 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 999 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1000 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1001 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1002 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1003 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1004 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1005 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1006 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1007 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1008 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1009 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1010 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1011 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1012 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1013 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1014 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1015 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1016 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1017 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1018 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1019 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1020 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1021 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1022 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -0 1023 0001000000000000001000001111111111000000000000000000000000000001 ----> passed! -1 0 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 1 0001000000000001100110101111111111000000000000000000000000000001 ----> failed! -1 2 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 3 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 4 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 5 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 6 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 7 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 8 0001000000000010110110111011111111000000000000000000000000000001 ----> failed! -1 9 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 10 0001000000000010110110111011111111000000000000000000000000000001 ----> failed! -1 11 0001000000000001100110101111111111000000000000000000000000000001 ----> failed! -1 12 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 13 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 14 0001000000000010110110111011111111000000000000000000000000000001 ----> failed! -1 15 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 16 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 17 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 18 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 19 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 20 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 21 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 22 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 23 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 24 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 25 0001000000000010110110111011111111000000000000000000000000000001 ----> failed! -1 26 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 27 0001000000000010110110111011111111000000000000000000000000000001 ----> failed! -1 28 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 29 0001000000000010110110111011111111000000000000000000000000000001 ----> failed! -1 30 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 31 0001000000000010110110111011111111000000000000000000000000000001 ----> failed! -1 32 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 33 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 34 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 35 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 36 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 37 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 38 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 39 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 40 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 41 0001000000000010110110111011111111000000000000000000000000000001 ----> failed! -1 42 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 43 0001000000000010110110111011111111000000000000000000000000000001 ----> failed! -1 44 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 45 0001000000000010110110111011111111000000000000000000000000000001 ----> failed! -1 46 0001000000000010000000001111111111000000000000000000000000000001 ----> failed! -1 47 0001000000000010110110111011111111000000000000000000000000000001 ----> failed! -One or more test failed :( +0 75 0001000000000011100101100000000000000000000000000000000000000001 ---> passed! +0 787 0001000000000001110010100000000000000000000000000000000000000001 ---> passed! +0 0 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 2 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 3 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 4 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 5 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 6 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 7 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 8 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 9 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 10 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 11 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 12 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 13 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 14 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 15 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 16 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 17 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 18 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 19 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 20 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 21 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 22 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 23 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 24 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 25 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 26 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 27 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 28 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 29 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 30 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 31 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 32 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 33 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 34 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 35 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 36 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 37 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 38 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 39 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 40 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 41 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 42 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 43 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 44 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 45 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 46 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 47 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 48 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 49 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 50 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 51 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 52 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 53 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 54 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 55 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 56 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 57 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 58 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 59 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 60 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 61 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 62 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 63 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 64 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 65 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 66 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 67 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 68 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 69 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 70 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 71 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 72 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 73 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 74 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 75 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 76 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 77 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 78 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 79 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 80 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 81 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 82 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 83 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 84 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 85 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 86 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 87 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 88 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 89 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 90 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 91 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 92 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 93 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 94 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 95 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 96 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 97 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 98 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 99 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 100 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 101 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 102 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 103 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 104 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 105 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 106 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 107 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 108 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 109 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 110 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 111 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 112 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 113 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 114 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 115 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 116 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 117 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 118 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 119 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 120 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 121 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 122 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 123 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 124 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 125 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 126 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 127 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 128 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 129 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 130 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 131 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 132 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 133 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 134 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 135 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 136 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 137 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 138 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 139 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 140 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 141 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 142 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 143 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 144 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 145 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 146 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 147 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 148 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 149 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 150 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 151 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 152 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 153 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 154 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 155 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 156 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 157 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 158 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 159 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 160 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 161 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 162 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 163 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 164 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 165 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 166 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 167 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 168 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 169 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 170 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 171 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 172 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 173 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 174 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 175 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 176 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 177 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 178 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 179 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 180 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 181 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 182 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 183 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 184 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 185 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 186 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 187 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 188 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 189 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 190 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 191 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 192 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 193 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 194 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 195 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 196 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 197 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 198 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 199 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 200 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 201 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 202 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 203 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 204 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 205 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 206 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 207 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 208 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 209 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 210 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 211 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 212 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 213 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 214 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 215 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 216 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 217 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 218 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 219 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 220 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 221 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 222 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 223 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 224 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 225 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 226 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 227 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 228 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 229 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 230 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 231 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 232 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 233 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 234 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 235 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 236 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 237 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 238 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 239 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 240 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 241 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 242 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 243 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 244 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 245 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 246 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 247 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 248 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 249 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 250 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 251 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 252 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 253 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 254 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 255 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 256 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 257 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 258 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 259 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 260 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 261 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 262 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 263 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 264 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 265 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 268 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 269 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 270 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 271 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 272 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 273 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 274 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 275 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 276 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 277 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 278 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 279 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 280 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 281 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 282 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 283 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 284 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 285 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 286 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 287 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 288 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 289 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 290 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 291 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 292 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 293 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 294 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 295 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 296 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 297 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 300 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 301 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 302 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 303 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 304 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 305 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 306 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 307 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 308 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 309 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 310 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 311 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 312 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 313 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 314 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 315 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 316 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 317 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 318 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 319 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 320 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 321 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 322 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 323 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 324 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 325 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 326 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 327 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 328 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 329 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 332 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 333 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 334 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 335 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 336 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 337 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 338 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 339 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 340 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 341 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 342 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 343 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 344 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 345 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 346 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 347 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 348 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 349 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 350 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 351 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 352 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 353 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 354 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 355 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 356 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 357 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 358 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 359 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 360 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 361 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 364 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 365 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 366 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 367 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 368 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 369 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 370 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 371 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 372 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 373 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 374 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 375 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 376 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 377 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 378 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 379 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 380 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 381 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 382 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 383 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 384 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 385 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 386 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 387 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 388 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 389 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 390 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 391 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 392 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 393 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 394 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 395 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 396 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 397 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 398 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 399 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 400 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 401 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 402 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 403 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 404 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 405 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 406 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 407 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 408 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 409 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 410 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 411 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 412 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 413 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 414 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 415 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 416 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 417 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 418 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 419 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 420 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 421 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 422 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 423 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 424 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 425 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 426 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 427 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 428 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 429 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 430 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 431 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 432 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 433 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 434 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 435 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 436 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 437 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 438 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 439 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 440 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 441 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 442 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 443 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 444 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 445 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 446 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 447 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 448 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 449 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 450 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 451 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 452 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 453 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 454 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 455 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 456 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 457 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 458 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 459 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 460 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 461 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 462 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 463 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 464 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 465 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 466 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 467 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 468 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 469 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 470 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 471 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 472 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 473 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 474 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 475 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 476 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 477 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 478 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 479 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 480 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 481 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 482 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 483 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 484 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 485 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 486 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 487 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 488 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 489 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 490 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 491 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 492 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 493 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 494 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 495 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 496 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 497 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 498 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 499 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 500 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 501 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 502 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 503 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 504 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 505 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 506 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 507 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 508 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 509 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 510 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 511 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 512 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 513 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 514 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 515 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 516 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 517 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 518 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 519 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 520 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 521 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 522 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 523 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 524 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 525 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 526 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 527 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 528 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 529 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 530 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 531 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 532 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 533 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 534 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 535 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 536 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 537 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 538 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 539 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 540 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 541 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 542 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 543 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 544 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 545 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 546 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 547 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 548 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 549 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 550 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 551 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 552 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 553 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 554 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 555 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 556 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 557 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 558 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 559 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 560 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 561 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 562 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 563 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 564 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 565 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 566 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 567 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 568 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 569 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 570 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 571 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 572 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 573 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 574 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 575 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 576 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 577 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 578 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 579 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 580 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 581 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 582 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 583 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 584 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 585 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 586 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 587 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 588 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 589 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 590 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 591 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 592 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 593 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 594 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 595 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 596 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 597 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 598 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 599 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 600 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 601 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 602 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 603 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 604 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 605 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 606 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 607 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 608 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 609 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 610 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 611 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 612 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 613 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 614 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 615 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 616 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 617 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 618 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 619 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 620 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 621 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 622 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 623 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 624 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 625 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 626 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 627 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 628 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 629 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 630 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 631 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 632 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 633 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 634 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 635 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 636 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 637 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 638 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 639 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 640 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 641 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 642 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 643 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 644 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 645 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 646 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 647 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 648 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 649 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 650 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 651 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 652 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 653 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 654 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 655 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 656 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 657 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 658 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 659 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 660 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 661 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 662 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 663 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 664 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 665 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 666 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 667 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 668 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 669 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 670 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 671 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 672 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 673 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 674 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 675 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 676 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 677 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 678 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 679 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 680 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 681 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 682 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 683 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 684 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 685 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 686 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 687 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 688 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 689 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 690 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 691 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 692 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 693 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 694 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 695 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 696 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 697 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 698 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 699 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 700 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 701 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 702 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 703 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 704 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 705 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 706 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 707 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 708 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 709 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 710 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 711 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 712 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 713 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 714 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 715 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 716 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 717 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 718 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 719 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 720 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 721 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 722 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 723 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 724 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 725 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 726 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 727 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 728 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 729 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 730 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 731 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 732 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 733 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 734 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 735 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 736 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 737 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 738 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 739 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 740 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 741 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 742 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 743 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 744 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 745 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 746 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 747 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 748 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 749 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 750 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 751 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 752 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 753 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 754 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 755 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 756 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 757 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 758 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 759 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 760 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 761 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 762 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 763 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 764 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 765 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 766 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 767 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 768 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 769 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 770 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 771 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 772 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 773 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 774 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 775 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 776 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 777 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 780 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 781 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 782 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 783 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 784 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 785 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 786 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 787 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 788 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 789 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 790 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 791 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 792 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 793 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 794 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 795 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 796 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 797 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 798 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 799 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 800 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 801 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 802 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 803 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 804 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 805 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 806 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 807 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 808 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 809 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 812 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 813 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 814 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 815 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 816 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 817 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 818 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 819 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 820 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 821 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 822 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 823 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 824 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 825 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 826 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 827 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 828 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 829 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 830 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 831 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 832 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 833 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 834 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 835 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 836 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 837 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 838 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 839 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 840 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 841 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 844 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 845 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 846 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 847 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 848 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 849 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 850 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 851 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 852 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 853 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 854 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 855 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 856 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 857 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 858 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 859 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 860 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 861 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 862 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 863 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 864 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 865 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 866 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 867 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 868 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 869 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 870 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 871 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 872 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 873 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 876 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 877 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 878 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 879 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 880 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 881 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 882 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 883 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 884 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 885 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 886 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 887 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 888 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 889 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 890 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 891 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 892 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 893 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 894 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 895 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 896 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 897 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 898 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 899 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 900 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 901 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 902 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 903 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 904 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 905 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 906 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 907 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 908 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 909 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 910 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 911 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 912 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 913 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 914 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 915 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 916 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 917 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 918 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 919 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 920 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 921 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 922 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 923 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 924 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 925 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 926 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 927 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 928 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 929 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 930 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 931 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 932 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 933 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 934 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 935 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 936 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 937 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 938 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 939 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 940 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 941 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 942 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 943 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 944 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 945 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 946 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 947 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 948 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 949 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 950 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 951 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 952 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 953 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 954 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 955 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 956 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 957 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 958 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 959 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 960 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 961 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 962 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 963 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 964 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 965 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 966 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 967 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 968 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 969 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 970 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 971 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 972 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 973 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 974 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 975 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 976 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 977 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 978 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 979 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 980 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 981 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 982 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 983 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 984 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 985 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 986 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 987 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 988 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 989 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 990 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 991 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 992 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 993 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 994 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 995 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 996 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 997 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 998 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 999 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1000 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1001 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1002 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1003 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1004 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1005 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1006 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1007 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1008 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1009 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1010 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1011 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1012 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1013 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1014 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1015 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1016 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1017 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1018 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1019 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1020 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1021 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1022 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1023 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 266 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 267 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 298 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 299 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 330 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 331 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 362 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 363 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 778 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 779 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 810 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 811 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 842 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 843 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 874 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 875 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 0 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 2 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 3 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 4 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 5 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 6 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 7 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 8 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 9 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 10 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 11 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 12 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 13 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 14 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 15 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 16 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 17 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 18 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 19 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 20 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 21 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 22 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 23 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 24 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 25 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 26 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 27 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 28 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 29 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 30 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 31 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 32 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 33 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 34 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 35 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 36 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 37 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 38 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 39 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 40 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 41 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 42 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 43 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 46 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 47 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 48 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 49 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 50 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 51 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 52 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 53 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 54 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 55 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 56 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 57 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 58 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 59 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 60 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 61 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 62 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 63 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 64 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 65 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 66 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 67 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 68 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 69 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 70 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 71 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 72 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 73 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 74 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 75 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 76 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 77 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 78 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 79 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 80 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 81 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 82 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 83 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 84 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 85 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 86 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 87 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 88 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 89 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 90 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 91 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 92 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 93 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 94 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 95 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 96 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 97 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 98 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 99 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 100 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 101 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 102 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 103 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 104 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 105 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 106 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 107 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 108 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 109 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 110 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 111 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 112 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 113 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 114 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 115 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 116 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 117 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 118 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 119 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 120 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 121 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 122 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 123 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 124 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 125 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 126 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 127 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 128 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 129 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 130 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 131 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 132 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 133 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 134 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 135 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 136 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 137 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 138 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 139 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 140 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 141 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 142 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 143 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 144 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 145 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 146 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 147 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 148 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 149 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 150 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 151 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 152 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 153 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 154 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 155 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 156 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 157 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 158 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 159 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 160 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 161 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 162 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 163 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 164 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 165 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 166 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 167 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 168 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 169 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 170 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 171 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 174 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 175 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 176 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 177 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 178 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 179 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 180 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 181 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 182 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 183 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 184 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 185 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 186 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 187 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 188 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 189 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 190 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 191 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 192 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 193 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 194 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 195 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 196 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 197 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 198 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 199 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 200 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 201 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 202 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 203 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 204 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 205 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 206 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 207 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 208 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 209 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 210 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 211 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 212 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 213 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 214 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 215 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 216 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 217 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 218 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 219 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 220 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 221 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 222 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 223 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 224 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 225 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 226 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 227 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 228 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 229 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 230 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 231 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 232 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 233 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 234 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 235 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 236 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 237 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 238 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 239 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 240 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 241 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 242 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 243 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 244 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 245 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 246 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 247 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 248 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 249 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 250 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 251 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 252 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 253 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 254 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 255 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 264 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 265 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 272 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 273 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 274 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 275 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 276 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 277 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 278 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 279 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 280 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 281 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 282 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 283 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 284 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 285 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 286 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 287 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 296 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 297 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 302 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 303 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 304 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 305 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 306 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 307 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 308 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 309 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 310 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 311 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 312 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 313 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 314 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 315 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 316 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 317 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 318 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 319 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 320 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 321 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 322 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 323 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 324 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 325 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 326 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 327 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 328 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 329 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 332 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 333 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 334 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 335 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 336 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 337 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 338 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 339 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 340 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 341 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 342 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 343 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 344 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 345 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 346 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 347 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 348 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 349 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 350 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 351 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 352 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 353 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 354 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 355 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 356 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 357 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 358 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 359 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 360 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 361 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 364 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 365 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 366 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 367 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 368 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 369 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 370 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 371 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 372 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 373 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 374 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 375 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 376 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 377 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 378 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 379 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 380 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 381 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 382 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 383 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 384 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 385 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 386 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 387 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 388 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 389 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 390 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 391 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 392 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 393 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 394 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 395 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 396 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 397 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 398 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 399 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 400 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 401 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 402 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 403 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 404 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 405 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 406 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 407 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 408 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 409 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 410 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 411 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 412 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 413 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 414 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 415 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 416 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 417 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 418 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 419 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 420 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 421 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 422 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 423 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 424 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 425 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 426 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 427 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 430 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 431 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 432 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 433 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 434 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 435 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 436 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 437 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 438 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 439 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 440 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 441 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 442 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 443 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 444 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 445 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 446 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 447 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 448 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 449 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 450 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 451 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 452 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 453 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 454 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 455 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 456 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 457 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 458 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 459 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 460 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 461 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 462 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 463 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 464 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 465 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 466 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 467 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 468 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 469 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 470 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 471 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 472 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 473 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 474 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 475 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 476 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 477 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 478 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 479 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 480 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 481 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 482 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 483 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 484 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 485 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 486 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 487 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 488 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 489 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 490 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 491 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 492 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 493 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 494 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 495 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 496 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 497 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 498 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 499 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 500 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 501 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 502 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 503 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 504 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 505 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 506 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 507 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 508 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 509 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 510 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 511 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 512 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 513 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 514 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 515 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 516 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 517 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 518 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 519 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 520 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 521 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 522 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 523 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 524 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 525 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 526 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 527 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 528 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 529 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 530 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 531 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 532 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 533 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 534 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 535 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 536 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 537 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 538 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 539 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 540 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 541 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 542 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 543 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 544 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 545 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 546 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 547 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 548 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 549 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 550 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 551 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 552 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 553 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 554 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 555 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 556 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 557 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 558 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 559 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 560 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 561 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 562 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 563 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 564 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 565 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 566 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 567 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 568 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 569 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 570 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 571 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 572 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 573 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 574 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 575 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 576 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 577 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 578 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 579 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 580 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 581 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 582 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 583 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 584 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 585 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 586 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 587 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 588 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 589 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 590 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 591 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 592 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 593 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 594 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 595 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 596 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 597 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 598 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 599 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 600 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 601 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 602 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 603 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 604 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 605 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 606 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 607 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 608 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 609 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 610 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 611 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 612 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 613 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 614 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 615 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 616 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 617 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 618 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 619 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 620 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 621 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 622 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 623 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 624 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 625 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 626 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 627 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 628 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 629 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 630 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 631 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 632 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 633 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 634 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 635 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 636 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 637 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 638 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 639 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 640 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 641 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 642 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 643 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 644 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 645 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 646 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 647 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 648 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 649 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 650 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 651 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 652 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 653 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 654 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 655 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 656 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 657 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 658 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 659 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 660 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 661 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 662 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 663 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 664 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 665 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 666 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 667 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 668 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 669 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 670 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 671 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 672 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 673 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 674 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 675 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 676 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 677 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 678 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 679 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 680 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 681 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 682 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 683 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 684 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 685 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 686 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 687 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 688 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 689 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 690 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 691 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 692 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 693 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 694 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 695 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 696 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 697 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 698 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 699 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 700 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 701 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 702 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 703 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 704 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 705 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 706 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 707 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 708 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 709 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 710 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 711 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 712 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 713 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 714 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 715 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 716 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 717 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 718 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 719 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 720 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 721 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 722 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 723 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 724 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 725 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 726 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 727 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 728 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 729 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 730 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 731 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 732 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 733 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 734 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 735 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 736 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 737 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 738 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 739 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 740 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 741 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 742 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 743 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 744 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 745 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 746 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 747 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 748 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 749 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 750 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 751 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 752 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 753 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 754 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 755 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 756 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 757 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 758 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 759 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 760 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 761 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 762 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 763 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 764 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 765 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 766 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 767 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 768 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 769 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 770 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 771 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 772 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 773 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 774 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 775 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 776 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 777 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 780 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 781 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 782 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 783 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 784 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 785 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 786 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 787 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 788 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 789 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 790 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 791 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 792 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 793 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 794 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 795 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 796 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 797 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 798 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 799 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 800 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 801 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 802 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 803 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 804 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 805 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 806 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 807 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 808 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 809 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 812 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 813 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 814 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 815 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 816 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 817 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 818 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 819 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 820 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 821 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 822 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 823 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 824 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 825 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 826 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 827 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 828 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 829 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 830 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 831 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 832 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 833 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 834 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 835 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 836 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 837 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 838 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 839 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 840 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 841 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 844 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 845 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 846 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 847 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 848 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 849 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 850 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 851 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 852 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 853 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 854 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 855 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 856 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 857 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 858 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 859 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 860 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 861 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 862 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 863 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 864 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 865 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 866 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 867 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 868 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 869 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 870 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 871 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 872 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 873 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 876 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 877 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 878 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 879 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 880 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 881 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 882 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 883 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 884 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 885 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 886 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 887 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 888 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 889 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 890 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 891 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 892 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 893 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 894 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 895 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 896 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 897 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 898 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 899 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 900 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 901 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 902 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 903 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 904 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 905 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 906 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 907 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 908 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 909 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 910 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 911 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 912 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 913 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 914 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 915 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 916 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 917 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 918 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 919 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 920 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 921 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 922 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 923 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 924 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 925 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 926 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 927 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 928 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 929 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 930 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 931 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 932 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 933 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 934 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 935 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 936 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 937 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 938 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 939 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 940 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 941 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 942 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 943 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 944 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 945 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 946 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 947 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 948 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 949 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 950 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 951 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 952 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 953 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 954 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 955 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 956 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 957 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 958 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 959 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 960 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 961 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 962 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 963 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 964 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 965 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 966 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 967 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 968 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 969 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 970 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 971 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 972 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 973 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 974 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 975 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 976 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 977 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 978 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 979 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 980 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 981 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 982 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 983 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 984 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 985 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 986 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 987 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 988 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 989 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 990 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 991 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 992 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 993 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 994 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 995 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 996 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 997 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 998 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 999 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1000 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1001 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1002 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1003 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1004 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1005 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1006 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1007 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1008 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1009 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1010 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1011 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1012 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1013 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1014 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1015 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1016 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1017 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1018 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1019 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1020 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1021 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1022 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1023 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 44 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 45 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 172 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 173 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 256 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 257 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 258 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 259 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 260 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 261 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 262 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 263 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 266 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 267 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 268 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 269 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 270 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 271 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 288 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 289 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 290 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 291 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 292 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 293 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 294 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 295 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 298 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 299 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 300 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 301 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 330 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 331 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 362 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 363 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 428 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 429 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 778 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 779 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 810 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 811 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 842 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 843 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 874 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 875 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 0 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 2 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 3 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 4 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 5 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 6 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 7 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 8 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 9 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 10 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 11 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 12 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 13 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 14 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 15 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 16 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 17 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 18 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 19 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 20 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 21 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 22 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 23 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 24 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 25 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 26 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 27 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 28 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 29 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 30 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 31 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 32 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 33 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 34 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 35 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 36 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 37 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 38 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 39 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 40 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 41 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 42 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 43 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 46 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 47 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 48 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 49 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 50 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 51 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 52 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 53 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 54 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 55 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 56 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 57 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 58 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 59 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 60 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 61 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 62 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 63 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 64 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 65 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 66 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 67 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 68 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 69 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 70 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 71 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 72 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 73 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 74 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 75 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 76 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 77 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 78 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 79 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 80 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 81 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 82 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 83 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 84 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 85 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 86 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 87 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 88 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 89 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 90 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 91 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 92 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 93 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 94 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 95 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 96 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 97 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 98 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 99 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 100 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 101 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 102 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 103 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 104 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 105 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 106 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 107 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 108 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 109 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 110 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 111 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 112 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 113 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 114 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 115 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 116 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 117 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 118 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 119 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 120 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 121 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 122 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 123 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 124 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 125 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 126 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 127 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 128 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 129 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 130 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 131 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 132 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 133 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 134 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 135 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 136 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 137 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 138 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 139 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 140 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 141 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 142 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 143 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 144 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 145 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 146 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 147 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 148 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 149 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 150 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 151 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 152 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 153 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 154 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 155 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 156 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 157 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 158 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 159 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 160 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 161 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 162 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 163 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 164 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 165 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 166 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 167 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 168 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 169 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 170 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 171 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 174 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 175 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 176 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 177 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 178 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 179 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 180 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 181 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 182 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 183 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 184 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 185 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 186 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 187 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 188 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 189 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 190 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 191 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 192 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 193 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 194 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 195 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 196 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 197 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 198 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 199 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 200 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 201 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 202 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 203 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 204 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 205 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 206 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 207 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 208 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 209 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 210 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 211 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 212 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 213 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 214 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 215 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 216 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 217 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 218 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 219 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 220 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 221 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 222 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 223 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 224 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 225 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 226 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 227 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 228 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 229 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 230 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 231 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 232 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 233 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 234 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 235 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 236 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 237 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 238 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 239 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 240 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 241 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 242 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 243 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 244 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 245 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 246 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 247 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 248 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 249 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 250 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 251 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 252 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 253 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 254 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 255 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 264 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 265 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 272 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 273 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 274 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 275 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 276 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 277 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 278 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 279 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 280 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 281 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 282 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 283 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 284 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 285 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 286 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 287 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 296 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 297 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 302 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 303 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 304 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 305 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 306 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 307 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 308 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 309 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 310 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 311 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 312 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 313 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 314 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 315 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 316 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 317 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 318 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 319 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 320 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 321 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 322 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 323 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 324 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 325 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 326 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 327 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 328 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 329 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 332 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 333 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 334 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 335 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 336 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 337 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 338 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 339 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 340 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 341 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 342 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 343 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 344 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 345 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 346 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 347 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 348 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 349 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 350 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 351 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 352 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 353 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 354 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 355 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 356 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 357 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 358 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 359 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 360 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 361 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 364 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 365 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 366 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 367 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 368 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 369 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 370 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 371 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 372 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 373 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 374 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 375 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 376 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 377 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 378 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 379 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 380 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 381 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 382 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 383 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 384 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 385 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 386 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 387 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 388 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 389 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 390 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 391 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 392 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 393 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 394 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 395 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 396 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 397 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 398 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 399 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 400 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 401 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 402 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 403 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 404 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 405 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 406 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 407 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 408 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 409 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 410 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 411 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 412 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 413 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 414 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 415 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 416 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 417 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 418 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 419 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 420 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 421 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 422 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 423 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 424 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 425 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 426 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 427 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 430 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 431 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 432 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 433 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 434 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 435 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 436 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 437 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 438 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 439 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 440 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 441 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 442 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 443 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 444 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 445 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 446 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 447 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 448 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 449 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 450 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 451 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 452 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 453 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 454 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 455 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 456 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 457 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 458 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 459 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 460 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 461 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 462 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 463 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 464 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 465 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 466 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 467 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 468 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 469 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 470 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 471 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 472 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 473 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 474 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 475 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 476 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 477 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 478 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 479 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 480 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 481 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 482 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 483 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 484 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 485 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 486 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 487 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 488 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 489 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 490 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 491 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 492 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 493 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 494 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 495 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 496 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 497 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 498 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 499 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 500 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 501 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 502 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 503 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 504 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 505 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 506 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 507 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 508 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 509 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 510 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 511 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 512 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 513 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 514 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 515 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 516 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 517 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 518 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 519 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 520 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 521 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 522 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 523 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 524 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 525 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 526 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 527 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 528 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 529 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 530 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 531 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 532 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 533 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 534 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 535 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 536 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 537 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 538 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 539 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 540 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 541 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 542 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 543 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 544 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 545 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 546 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 547 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 548 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 549 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 550 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 551 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 552 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 553 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 554 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 555 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 556 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 557 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 558 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 559 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 560 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 561 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 562 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 563 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 564 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 565 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 566 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 567 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 568 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 569 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 570 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 571 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 572 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 573 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 574 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 575 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 576 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 577 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 578 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 579 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 580 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 581 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 582 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 583 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 584 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 585 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 586 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 587 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 588 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 589 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 590 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 591 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 592 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 593 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 594 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 595 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 596 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 597 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 598 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 599 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 600 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 601 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 602 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 603 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 604 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 605 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 606 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 607 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 608 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 609 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 610 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 611 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 612 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 613 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 614 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 615 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 616 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 617 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 618 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 619 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 620 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 621 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 622 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 623 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 624 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 625 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 626 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 627 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 628 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 629 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 630 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 631 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 632 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 633 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 634 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 635 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 636 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 637 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 638 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 639 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 640 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 641 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 642 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 643 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 644 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 645 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 646 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 647 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 648 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 649 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 650 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 651 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 652 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 653 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 654 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 655 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 656 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 657 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 658 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 659 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 660 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 661 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 662 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 663 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 664 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 665 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 666 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 667 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 668 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 669 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 670 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 671 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 672 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 673 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 674 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 675 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 676 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 677 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 678 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 679 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 680 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 681 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 682 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 683 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 684 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 685 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 686 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 687 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 688 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 689 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 690 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 691 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 692 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 693 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 694 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 695 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 696 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 697 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 698 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 699 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 700 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 701 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 702 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 703 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 704 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 705 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 706 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 707 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 708 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 709 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 710 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 711 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 712 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 713 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 714 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 715 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 716 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 717 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 718 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 719 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 720 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 721 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 722 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 723 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 724 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 725 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 726 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 727 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 728 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 729 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 730 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 731 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 732 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 733 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 734 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 735 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 736 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 737 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 738 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 739 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 740 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 741 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 742 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 743 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 744 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 745 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 746 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 747 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 748 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 749 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 750 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 751 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 752 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 753 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 754 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 755 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 756 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 757 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 758 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 759 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 760 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 761 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 762 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 763 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 764 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 765 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 766 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 767 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 768 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 769 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 770 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 771 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 772 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 773 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 774 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 775 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 776 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 777 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 780 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 781 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 782 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 783 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 784 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 785 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 786 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 787 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 788 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 789 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 790 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 791 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 792 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 793 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 794 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 795 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 796 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 797 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 798 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 799 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 800 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 801 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 802 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 803 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 804 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 805 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 806 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 807 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 808 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 809 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 812 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 813 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 814 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 815 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 816 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 817 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 818 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 819 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 820 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 821 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 822 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 823 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 824 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 825 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 826 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 827 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 828 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 829 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 830 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 831 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 832 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 833 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 834 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 835 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 836 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 837 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 838 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 839 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 840 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 841 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 844 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 845 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 846 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 847 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 848 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 849 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 850 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 851 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 852 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 853 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 854 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 855 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 856 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 857 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 858 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 859 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 860 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 861 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 862 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 863 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 864 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 865 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 866 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 867 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 868 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 869 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 870 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 871 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 872 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 873 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 876 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 877 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 878 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 879 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 880 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 881 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 882 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 883 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 884 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 885 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 886 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 887 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 888 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 889 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 890 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 891 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 892 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 893 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 894 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 895 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 896 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 897 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 898 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 899 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 900 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 901 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 902 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 903 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 904 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 905 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 906 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 907 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 908 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 909 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 910 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 911 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 912 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 913 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 914 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 915 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 916 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 917 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 918 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 919 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 920 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 921 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 922 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 923 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 924 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 925 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 926 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 927 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 928 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 929 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 930 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 931 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 932 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 933 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 934 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 935 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 936 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 937 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 938 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 939 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 940 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 941 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 942 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 943 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 944 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 945 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 946 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 947 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 948 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 949 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 950 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 951 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 952 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 953 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 954 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 955 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 956 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 957 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 958 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 959 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 960 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 961 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 962 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 963 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 964 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 965 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 966 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 967 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 968 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 969 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 970 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 971 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 972 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 973 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 974 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 975 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 976 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 977 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 978 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 979 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 980 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 981 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 982 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 983 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 984 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 985 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 986 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 987 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 988 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 989 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 990 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 991 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 992 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 993 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 994 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 995 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 996 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 997 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 998 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 999 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1000 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1001 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1002 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1003 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1004 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1005 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1006 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1007 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1008 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1009 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1010 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1011 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1012 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1013 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1014 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1015 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1016 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1017 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1018 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1019 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1020 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1021 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1022 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1023 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 44 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 45 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 172 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 173 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 330 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 331 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 362 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 363 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 428 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 429 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 778 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 779 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 810 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 811 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 842 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 843 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 874 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 875 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 46 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 47 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 174 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 175 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 192 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 193 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 194 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 195 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 196 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 197 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 198 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 199 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 200 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 201 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 202 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 203 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 204 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 205 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 206 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 207 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 208 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 209 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 210 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 211 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 212 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 213 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 214 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 215 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 216 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 217 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 218 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 219 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 220 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 221 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 222 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 223 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 224 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 225 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 226 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 227 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 228 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 229 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 230 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 231 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 232 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 233 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 234 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 235 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 236 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 237 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 238 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 239 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 240 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 241 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 242 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 243 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 244 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 245 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 246 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 247 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 248 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 249 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 250 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 251 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 252 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 253 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 254 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 255 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 328 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 329 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 360 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 361 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 430 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 431 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 448 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 449 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 450 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 451 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 452 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 453 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 454 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 455 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 456 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 457 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 458 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 459 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 460 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 461 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 462 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 463 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 464 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 465 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 466 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 467 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 468 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 469 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 470 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 471 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 472 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 473 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 474 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 475 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 476 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 477 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 478 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 479 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 480 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 481 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 482 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 483 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 484 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 485 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 486 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 487 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 488 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 489 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 490 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 491 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 492 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 493 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 494 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 495 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 496 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 497 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 498 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 499 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 500 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 501 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 502 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 503 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 504 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 505 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 506 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 507 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 508 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 509 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 510 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 511 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 640 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 641 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 642 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 643 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 644 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 645 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 646 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 647 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 648 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 649 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 650 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 651 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 652 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 653 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 654 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 655 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 656 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 657 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 658 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 659 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 660 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 661 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 662 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 663 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 664 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 665 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 666 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 667 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 668 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 669 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 670 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 671 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 672 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 673 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 674 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 675 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 676 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 677 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 678 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 679 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 680 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 681 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 682 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 683 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 684 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 685 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 686 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 687 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 688 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 689 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 690 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 691 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 692 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 693 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 694 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 695 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 696 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 697 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 698 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 699 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 700 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 701 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 702 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 703 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 704 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 705 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 706 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 707 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 708 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 709 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 710 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 711 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 712 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 713 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 714 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 715 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 716 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 717 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 718 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 719 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 720 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 721 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 722 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 723 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 724 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 725 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 726 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 727 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 728 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 729 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 730 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 731 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 732 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 733 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 734 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 735 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 736 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 737 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 738 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 739 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 740 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 741 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 742 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 743 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 744 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 745 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 746 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 747 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 748 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 749 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 750 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 751 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 752 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 753 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 754 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 755 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 756 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 757 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 758 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 759 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 760 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 761 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 762 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 763 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 764 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 765 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 766 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 767 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 776 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 777 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 808 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 809 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 840 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 841 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 872 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 873 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 896 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 897 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 898 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 899 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 900 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 901 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 902 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 903 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 904 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 905 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 906 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 907 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 908 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 909 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 910 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 911 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 912 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 913 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 914 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 915 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 916 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 917 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 918 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 919 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 920 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 921 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 922 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 923 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 924 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 925 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 926 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 927 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 928 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 929 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 930 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 931 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 932 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 933 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 934 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 935 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 936 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 937 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 938 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 939 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 940 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 941 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 942 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 943 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 944 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 945 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 946 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 947 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 948 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 949 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 950 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 951 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 952 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 953 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 954 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 955 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 956 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 957 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 958 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 959 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 960 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 961 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 962 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 963 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 964 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 965 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 966 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 967 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 968 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 969 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 970 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 971 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 972 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 973 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 974 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 975 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 976 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 977 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 978 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 979 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 980 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 981 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 982 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 983 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 984 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 985 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 986 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 987 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 988 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 989 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 990 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 991 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 992 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 993 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 994 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 995 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 996 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 997 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 998 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 999 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1000 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1001 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1002 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1003 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1004 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1005 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1006 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1007 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1008 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1009 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1010 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1011 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1012 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1013 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1014 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1015 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1016 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1017 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1018 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1019 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1020 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1021 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1022 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1023 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 128 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 129 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 130 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 131 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 132 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 133 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 134 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 135 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 136 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 137 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 138 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 139 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 140 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 141 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 142 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 143 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 144 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 145 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 146 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 147 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 148 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 149 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 150 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 151 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 152 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 153 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 154 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 155 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 156 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 157 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 158 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 159 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 160 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 161 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 162 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 163 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 164 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 165 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 166 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 167 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 168 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 169 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 170 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 171 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 176 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 177 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 178 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 179 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 180 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 181 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 182 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 183 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 184 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 185 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 186 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 187 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 188 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 189 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 190 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 191 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 256 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 257 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 258 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 259 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 260 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 261 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 262 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 263 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 264 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 265 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 266 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 267 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 268 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 269 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 270 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 271 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 288 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 289 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 290 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 291 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 292 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 293 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 294 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 295 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 296 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 297 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 298 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 299 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 300 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 301 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 302 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 303 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 384 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 385 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 386 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 387 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 388 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 389 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 390 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 391 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 392 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 393 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 394 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 395 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 396 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 397 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 398 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 399 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 400 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 401 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 402 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 403 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 404 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 405 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 406 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 407 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 408 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 409 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 410 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 411 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 412 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 413 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 414 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 415 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 416 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 417 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 418 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 419 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 420 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 421 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 422 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 423 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 424 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 425 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 426 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 427 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 432 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 433 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 434 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 435 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 436 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 437 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 438 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 439 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 440 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 441 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 442 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 443 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 444 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 445 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 446 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 447 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 172 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 173 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 428 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 429 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 174 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 175 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 430 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 431 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +All tests passed successfully :) diff --git a/test/scripts/validate_results/validate_results.py b/test/scripts/validate_results/validate_results.py index 5363697..7e85d95 100644 --- a/test/scripts/validate_results/validate_results.py +++ b/test/scripts/validate_results/validate_results.py @@ -23,10 +23,10 @@ all_test_passed = True test_logs = [] for result in results: if result in reference: - test_logs.append(result + "---> passed!") + test_logs.append(result[:-1] + " ---> passed!") else: all_test_passed = False - test_logs.append(result + "---> failed!") + test_logs.append(result[:-1] + " ---> failed!") if all_test_passed: test_logs.append("All tests passed successfully :)") From 3957306d861a9615d7bc67376f01b0cda89e51ff Mon Sep 17 00:00:00 2001 From: Retrocamara42 Date: Sun, 15 Jun 2025 06:20:01 -0500 Subject: [PATCH 3/3] fix: fifo wr ptr error, arbiter indexes for paths fixed, small bugs --- router/arbiter.vhdl | 34 +- router/fifo.vhdl | 2 +- router/parent_arbiter.vhdl | 34 +- router/router.vhdl | 2 +- .../random_pe_to_pe_test/simulation.log | 12 +- .../noc_tests/random_pe_to_pe_test/test.log | 7206 +++++++++-------- test/noc_tb.vhdl | 11 +- test/scripts/validate_results/simulation.log | 12 +- test/scripts/validate_results/test.log | 7206 +++++++++-------- .../validate_results/validate_results.py | 8 +- 10 files changed, 7269 insertions(+), 7258 deletions(-) diff --git a/router/arbiter.vhdl b/router/arbiter.vhdl index 61c217d..70e0d98 100644 --- a/router/arbiter.vhdl +++ b/router/arbiter.vhdl @@ -121,15 +121,7 @@ begin end if; -- 1 to 2 multicast elsif sum_dirs = 2 then - if dirs(i)(2) = '1' and dirs(i)(4) = '1' then - if avai_pos_sizes(2) <= 0 or avai_pos_sizes(4) <= 0 then - ps_dirs(i) <= (others => '0'); - else - ps_dirs(i) <= dirs(i); - avai_pos_sizes(2) := avai_pos_sizes(2)-1; - avai_pos_sizes(4) := avai_pos_sizes(4)-1; - end if; - elsif dirs(i)(1) = '1' and dirs(i)(3) = '1' then + if dirs(i)(1) = '1' and dirs(i)(3) = '1' then if avai_pos_sizes(1) <= 0 or avai_pos_sizes(3) <= 0 then ps_dirs(i) <= (others => '0'); else @@ -137,35 +129,43 @@ begin avai_pos_sizes(1) := avai_pos_sizes(1)-1; avai_pos_sizes(3) := avai_pos_sizes(3)-1; end if; - elsif dirs(i)(3) = '1' and dirs(i)(4) = '1' then - if avai_pos_sizes(3) <= 0 or avai_pos_sizes(4) <= 0 then + elsif dirs(i)(0) = '1' and dirs(i)(2) = '1' then + if avai_pos_sizes(0) <= 0 or avai_pos_sizes(2) <= 0 then ps_dirs(i) <= (others => '0'); else ps_dirs(i) <= dirs(i); + avai_pos_sizes(0) := avai_pos_sizes(0)-1; + avai_pos_sizes(2) := avai_pos_sizes(2)-1; + end if; + elsif dirs(i)(2) = '1' and dirs(i)(3) = '1' then + if avai_pos_sizes(2) <= 0 or avai_pos_sizes(3) <= 0 then + ps_dirs(i) <= (others => '0'); + else + ps_dirs(i) <= dirs(i); + avai_pos_sizes(2) := avai_pos_sizes(2)-1; avai_pos_sizes(3) := avai_pos_sizes(3)-1; - avai_pos_sizes(4) := avai_pos_sizes(4)-1; end if; --elsif dirs(i)(1) = '1' and dirs(i)(2) = '1' then else - if avai_pos_sizes(1) <= 0 or avai_pos_sizes(2) <= 0 then + if avai_pos_sizes(0) <= 0 or avai_pos_sizes(1) <= 0 then ps_dirs(i) <= (others => '0'); else ps_dirs(i) <= dirs(i); + avai_pos_sizes(0) := avai_pos_sizes(0)-1; avai_pos_sizes(1) := avai_pos_sizes(1)-1; - avai_pos_sizes(2) := avai_pos_sizes(2)-1; end if; end if; -- 1 to 4 multicast elsif sum_dirs = 4 then - if avai_pos_sizes(1) <= 0 or avai_pos_sizes(2) <= 0 or - avai_pos_sizes(3) <= 0 or avai_pos_sizes(4) <= 0 then + if avai_pos_sizes(0) <= 0 or avai_pos_sizes(1) <= 0 or + avai_pos_sizes(2) <= 0 or avai_pos_sizes(3) <= 0 then ps_dirs(i) <= (others => '0'); else ps_dirs(i) <= dirs(i); + avai_pos_sizes(0) := avai_pos_sizes(0)-1; avai_pos_sizes(1) := avai_pos_sizes(1)-1; avai_pos_sizes(2) := avai_pos_sizes(2)-1; avai_pos_sizes(3) := avai_pos_sizes(3)-1; - avai_pos_sizes(4) := avai_pos_sizes(4)-1; end if; else ps_dirs(i) <= (others => '0'); diff --git a/router/fifo.vhdl b/router/fifo.vhdl index de32d72..ab97cb1 100755 --- a/router/fifo.vhdl +++ b/router/fifo.vhdl @@ -63,7 +63,7 @@ begin fifo_sel <= (others => '0'); if wr_req = '1' then one := to_unsigned(1, DEPTH); - fifo_sel <= std_logic_vector(shift_left(one, to_integer(wr_ptr))); + fifo_sel <= std_logic_vector(shift_left(one, to_integer(wr_ptr(F_PTR_SIZE-2 downto 0)))); end if; end process; diff --git a/router/parent_arbiter.vhdl b/router/parent_arbiter.vhdl index a3689a5..ee978e9 100644 --- a/router/parent_arbiter.vhdl +++ b/router/parent_arbiter.vhdl @@ -144,15 +144,7 @@ begin end if; -- 1 to 2 multicast elsif sum_dirs = 2 then - if dirs(i)(2) = '1' and dirs(i)(4) = '1' then - if avai_pos_sizes(2) <= 0 or avai_pos_sizes(4) <= 0 then - ps_dirs(i) <= (others => '0'); - else - ps_dirs(i) <= dirs(i); - avai_pos_sizes(2) := avai_pos_sizes(2)-1; - avai_pos_sizes(4) := avai_pos_sizes(4)-1; - end if; - elsif dirs(i)(1) = '1' and dirs(i)(3) = '1' then + if dirs(i)(1) = '1' and dirs(i)(3) = '1' then if avai_pos_sizes(1) <= 0 or avai_pos_sizes(3) <= 0 then ps_dirs(i) <= (others => '0'); else @@ -160,35 +152,43 @@ begin avai_pos_sizes(1) := avai_pos_sizes(1)-1; avai_pos_sizes(3) := avai_pos_sizes(3)-1; end if; - elsif dirs(i)(3) = '1' and dirs(i)(4) = '1' then - if avai_pos_sizes(3) <= 0 or avai_pos_sizes(4) <= 0 then + elsif dirs(i)(0) = '1' and dirs(i)(2) = '1' then + if avai_pos_sizes(0) <= 0 or avai_pos_sizes(2) <= 0 then ps_dirs(i) <= (others => '0'); else ps_dirs(i) <= dirs(i); + avai_pos_sizes(0) := avai_pos_sizes(0)-1; + avai_pos_sizes(2) := avai_pos_sizes(2)-1; + end if; + elsif dirs(i)(2) = '1' and dirs(i)(3) = '1' then + if avai_pos_sizes(2) <= 0 or avai_pos_sizes(3) <= 0 then + ps_dirs(i) <= (others => '0'); + else + ps_dirs(i) <= dirs(i); + avai_pos_sizes(2) := avai_pos_sizes(2)-1; avai_pos_sizes(3) := avai_pos_sizes(3)-1; - avai_pos_sizes(4) := avai_pos_sizes(4)-1; end if; --elsif dirs(i)(1) = '1' and dirs(i)(2) = '1' then else - if avai_pos_sizes(1) <= 0 or avai_pos_sizes(2) <= 0 then + if avai_pos_sizes(0) <= 0 or avai_pos_sizes(1) <= 0 then ps_dirs(i) <= (others => '0'); else ps_dirs(i) <= dirs(i); + avai_pos_sizes(0) := avai_pos_sizes(0)-1; avai_pos_sizes(1) := avai_pos_sizes(1)-1; - avai_pos_sizes(2) := avai_pos_sizes(2)-1; end if; end if; -- 1 to 4 multicast elsif sum_dirs = 4 then - if avai_pos_sizes(1) <= 0 or avai_pos_sizes(2) <= 0 or - avai_pos_sizes(3) <= 0 or avai_pos_sizes(4) <= 0 then + if avai_pos_sizes(0) <= 0 or avai_pos_sizes(1) <= 0 or + avai_pos_sizes(2) <= 0 or avai_pos_sizes(3) <= 0 then ps_dirs(i) <= (others => '0'); else ps_dirs(i) <= dirs(i); + avai_pos_sizes(0) := avai_pos_sizes(0)-1; avai_pos_sizes(1) := avai_pos_sizes(1)-1; avai_pos_sizes(2) := avai_pos_sizes(2)-1; avai_pos_sizes(3) := avai_pos_sizes(3)-1; - avai_pos_sizes(4) := avai_pos_sizes(4)-1; end if; else ps_dirs(i) <= (others => '0'); diff --git a/router/router.vhdl b/router/router.vhdl index 0e705af..b652e1b 100644 --- a/router/router.vhdl +++ b/router/router.vhdl @@ -142,7 +142,7 @@ begin end loop; end process; - packet_sm_out_gen: process(packet_states, rcv_buff_out, arb_complete) + packet_sm_out_gen: process(packet_states, rcv_buff_out, arb_complete, rd_data) begin for i in 0 to TOT_NUM_PATHS-1 loop case packet_states(i) is diff --git a/test/input/noc_tests/random_pe_to_pe_test/simulation.log b/test/input/noc_tests/random_pe_to_pe_test/simulation.log index 87956b3..db88c3f 100644 --- a/test/input/noc_tests/random_pe_to_pe_test/simulation.log +++ b/test/input/noc_tests/random_pe_to_pe_test/simulation.log @@ -3114,6 +3114,7 @@ 0 253 0001000000000010110110111011111111000000000000000000000000000001 0 254 0001000000000010110110111011111111000000000000000000000000000001 0 255 0001000000000010110110111011111111000000000000000000000000000001 +0 264 0001000000000011101011110000000000000000000000000000000000000001 0 328 0001000000000010000000001111111111000000000000000000000000000001 0 329 0001000000000010000000001111111111000000000000000000000000000001 0 360 0001000000000010000000001111111111000000000000000000000000000001 @@ -3184,6 +3185,7 @@ 0 509 0001000000000010110110111011111111000000000000000000000000000001 0 510 0001000000000010110110111011111111000000000000000000000000000001 0 511 0001000000000010110110111011111111000000000000000000000000000001 +0 540 0001000000000001101110010000000000000000000000000000000000000001 0 640 0001000000000010110110111011111111000000000000000000000000000001 0 641 0001000000000010110110111011111111000000000000000000000000000001 0 642 0001000000000010110110111011111111000000000000000000000000000001 @@ -3516,7 +3518,6 @@ 0 261 0001000000000010000000001111111111000000000000000000000000000001 0 262 0001000000000010000000001111111111000000000000000000000000000001 0 263 0001000000000010000000001111111111000000000000000000000000000001 -0 264 0001000000000010000000001111111111000000000000000000000000000001 0 265 0001000000000010000000001111111111000000000000000000000000000001 0 266 0001000000000010000000001111111111000000000000000000000000000001 0 267 0001000000000010000000001111111111000000000000000000000000000001 @@ -3604,7 +3605,8 @@ 0 173 0001000000000010110110111011111111000000000000000000000000000001 0 428 0001000000000010110110111011111111000000000000000000000000000001 0 429 0001000000000010110110111011111111000000000000000000000000000001 -0 174 0001000000000000001000001111111111000000000000000000000000000001 -0 175 0001000000000000001000001111111111000000000000000000000000000001 -0 430 0001000000000000001000001111111111000000000000000000000000000001 -0 431 0001000000000000001000001111111111000000000000000000000000000001 +0 174 0001000000000010110110111011111111000000000000000000000000000001 +0 175 0001000000000010110110111011111111000000000000000000000000000001 +0 264 0001000000000010000000001111111111000000000000000000000000000001 +0 430 0001000000000010110110111011111111000000000000000000000000000001 +0 431 0001000000000010110110111011111111000000000000000000000000000001 diff --git a/test/input/noc_tests/random_pe_to_pe_test/test.log b/test/input/noc_tests/random_pe_to_pe_test/test.log index 964e9a4..9fe0099 100644 --- a/test/input/noc_tests/random_pe_to_pe_test/test.log +++ b/test/input/noc_tests/random_pe_to_pe_test/test.log @@ -1,3611 +1,3613 @@ -0 75 0001000000000011100101100000000000000000000000000000000000000001 ---> passed! -0 787 0001000000000001110010100000000000000000000000000000000000000001 ---> passed! -0 0 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 2 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 3 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 4 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 5 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 6 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 7 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 8 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 9 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 10 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 11 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 12 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 13 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 14 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 15 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 16 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 17 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 18 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 19 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 20 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 21 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 22 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 23 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 24 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 25 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 26 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 27 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 28 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 29 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 30 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 31 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 32 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 33 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 34 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 35 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 36 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 37 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 38 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 39 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 40 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 41 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 42 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 43 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 44 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 45 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 46 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 47 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 48 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 49 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 50 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 51 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 52 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 53 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 54 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 55 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 56 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 57 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 58 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 59 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 60 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 61 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 62 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 63 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 64 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 65 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 66 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 67 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 68 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 69 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 70 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 71 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 72 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 73 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 74 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 75 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 76 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 77 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 78 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 79 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 80 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 81 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 82 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 83 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 84 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 85 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 86 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 87 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 88 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 89 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 90 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 91 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 92 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 93 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 94 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 95 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 96 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 97 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 98 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 99 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 100 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 101 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 102 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 103 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 104 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 105 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 106 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 107 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 108 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 109 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 110 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 111 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 112 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 113 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 114 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 115 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 116 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 117 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 118 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 119 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 120 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 121 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 122 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 123 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 124 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 125 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 126 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 127 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 128 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 129 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 130 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 131 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 132 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 133 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 134 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 135 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 136 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 137 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 138 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 139 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 140 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 141 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 142 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 143 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 144 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 145 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 146 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 147 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 148 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 149 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 150 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 151 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 152 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 153 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 154 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 155 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 156 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 157 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 158 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 159 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 160 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 161 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 162 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 163 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 164 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 165 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 166 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 167 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 168 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 169 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 170 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 171 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 172 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 173 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 174 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 175 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 176 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 177 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 178 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 179 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 180 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 181 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 182 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 183 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 184 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 185 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 186 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 187 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 188 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 189 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 190 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 191 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 192 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 193 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 194 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 195 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 196 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 197 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 198 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 199 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 200 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 201 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 202 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 203 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 204 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 205 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 206 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 207 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 208 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 209 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 210 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 211 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 212 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 213 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 214 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 215 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 216 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 217 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 218 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 219 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 220 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 221 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 222 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 223 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 224 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 225 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 226 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 227 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 228 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 229 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 230 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 231 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 232 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 233 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 234 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 235 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 236 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 237 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 238 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 239 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 240 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 241 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 242 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 243 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 244 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 245 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 246 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 247 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 248 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 249 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 250 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 251 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 252 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 253 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 254 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 255 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 256 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 257 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 258 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 259 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 260 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 261 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 262 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 263 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 264 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 265 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 268 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 269 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 270 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 271 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 272 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 273 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 274 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 275 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 276 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 277 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 278 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 279 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 280 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 281 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 282 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 283 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 284 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 285 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 286 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 287 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 288 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 289 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 290 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 291 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 292 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 293 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 294 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 295 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 296 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 297 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 300 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 301 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 302 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 303 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 304 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 305 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 306 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 307 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 308 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 309 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 310 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 311 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 312 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 313 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 314 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 315 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 316 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 317 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 318 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 319 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 320 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 321 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 322 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 323 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 324 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 325 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 326 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 327 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 328 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 329 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 332 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 333 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 334 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 335 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 336 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 337 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 338 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 339 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 340 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 341 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 342 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 343 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 344 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 345 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 346 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 347 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 348 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 349 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 350 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 351 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 352 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 353 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 354 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 355 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 356 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 357 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 358 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 359 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 360 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 361 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 364 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 365 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 366 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 367 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 368 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 369 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 370 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 371 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 372 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 373 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 374 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 375 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 376 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 377 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 378 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 379 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 380 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 381 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 382 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 383 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 384 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 385 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 386 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 387 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 388 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 389 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 390 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 391 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 392 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 393 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 394 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 395 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 396 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 397 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 398 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 399 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 400 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 401 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 402 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 403 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 404 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 405 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 406 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 407 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 408 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 409 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 410 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 411 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 412 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 413 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 414 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 415 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 416 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 417 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 418 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 419 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 420 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 421 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 422 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 423 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 424 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 425 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 426 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 427 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 428 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 429 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 430 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 431 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 432 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 433 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 434 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 435 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 436 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 437 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 438 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 439 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 440 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 441 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 442 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 443 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 444 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 445 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 446 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 447 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 448 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 449 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 450 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 451 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 452 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 453 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 454 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 455 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 456 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 457 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 458 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 459 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 460 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 461 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 462 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 463 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 464 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 465 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 466 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 467 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 468 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 469 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 470 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 471 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 472 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 473 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 474 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 475 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 476 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 477 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 478 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 479 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 480 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 481 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 482 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 483 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 484 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 485 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 486 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 487 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 488 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 489 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 490 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 491 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 492 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 493 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 494 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 495 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 496 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 497 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 498 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 499 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 500 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 501 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 502 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 503 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 504 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 505 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 506 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 507 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 508 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 509 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 510 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 511 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 512 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 513 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 514 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 515 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 516 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 517 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 518 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 519 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 520 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 521 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 522 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 523 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 524 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 525 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 526 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 527 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 528 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 529 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 530 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 531 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 532 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 533 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 534 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 535 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 536 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 537 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 538 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 539 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 540 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 541 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 542 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 543 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 544 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 545 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 546 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 547 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 548 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 549 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 550 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 551 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 552 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 553 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 554 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 555 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 556 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 557 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 558 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 559 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 560 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 561 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 562 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 563 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 564 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 565 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 566 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 567 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 568 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 569 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 570 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 571 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 572 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 573 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 574 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 575 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 576 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 577 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 578 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 579 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 580 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 581 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 582 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 583 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 584 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 585 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 586 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 587 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 588 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 589 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 590 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 591 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 592 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 593 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 594 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 595 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 596 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 597 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 598 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 599 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 600 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 601 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 602 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 603 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 604 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 605 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 606 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 607 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 608 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 609 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 610 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 611 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 612 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 613 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 614 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 615 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 616 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 617 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 618 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 619 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 620 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 621 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 622 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 623 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 624 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 625 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 626 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 627 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 628 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 629 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 630 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 631 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 632 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 633 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 634 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 635 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 636 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 637 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 638 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 639 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 640 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 641 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 642 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 643 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 644 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 645 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 646 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 647 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 648 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 649 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 650 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 651 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 652 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 653 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 654 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 655 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 656 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 657 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 658 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 659 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 660 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 661 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 662 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 663 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 664 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 665 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 666 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 667 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 668 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 669 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 670 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 671 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 672 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 673 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 674 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 675 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 676 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 677 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 678 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 679 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 680 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 681 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 682 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 683 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 684 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 685 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 686 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 687 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 688 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 689 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 690 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 691 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 692 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 693 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 694 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 695 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 696 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 697 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 698 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 699 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 700 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 701 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 702 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 703 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 704 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 705 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 706 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 707 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 708 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 709 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 710 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 711 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 712 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 713 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 714 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 715 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 716 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 717 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 718 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 719 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 720 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 721 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 722 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 723 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 724 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 725 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 726 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 727 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 728 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 729 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 730 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 731 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 732 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 733 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 734 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 735 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 736 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 737 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 738 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 739 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 740 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 741 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 742 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 743 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 744 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 745 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 746 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 747 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 748 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 749 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 750 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 751 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 752 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 753 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 754 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 755 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 756 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 757 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 758 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 759 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 760 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 761 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 762 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 763 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 764 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 765 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 766 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 767 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 768 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 769 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 770 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 771 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 772 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 773 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 774 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 775 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 776 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 777 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 780 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 781 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 782 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 783 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 784 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 785 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 786 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 787 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 788 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 789 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 790 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 791 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 792 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 793 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 794 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 795 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 796 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 797 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 798 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 799 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 800 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 801 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 802 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 803 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 804 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 805 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 806 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 807 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 808 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 809 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 812 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 813 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 814 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 815 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 816 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 817 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 818 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 819 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 820 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 821 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 822 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 823 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 824 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 825 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 826 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 827 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 828 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 829 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 830 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 831 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 832 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 833 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 834 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 835 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 836 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 837 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 838 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 839 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 840 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 841 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 844 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 845 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 846 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 847 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 848 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 849 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 850 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 851 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 852 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 853 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 854 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 855 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 856 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 857 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 858 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 859 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 860 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 861 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 862 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 863 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 864 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 865 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 866 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 867 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 868 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 869 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 870 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 871 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 872 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 873 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 876 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 877 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 878 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 879 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 880 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 881 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 882 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 883 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 884 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 885 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 886 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 887 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 888 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 889 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 890 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 891 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 892 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 893 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 894 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 895 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 896 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 897 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 898 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 899 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 900 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 901 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 902 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 903 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 904 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 905 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 906 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 907 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 908 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 909 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 910 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 911 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 912 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 913 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 914 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 915 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 916 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 917 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 918 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 919 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 920 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 921 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 922 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 923 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 924 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 925 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 926 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 927 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 928 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 929 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 930 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 931 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 932 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 933 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 934 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 935 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 936 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 937 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 938 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 939 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 940 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 941 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 942 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 943 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 944 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 945 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 946 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 947 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 948 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 949 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 950 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 951 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 952 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 953 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 954 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 955 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 956 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 957 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 958 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 959 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 960 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 961 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 962 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 963 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 964 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 965 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 966 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 967 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 968 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 969 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 970 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 971 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 972 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 973 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 974 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 975 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 976 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 977 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 978 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 979 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 980 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 981 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 982 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 983 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 984 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 985 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 986 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 987 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 988 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 989 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 990 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 991 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 992 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 993 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 994 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 995 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 996 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 997 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 998 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 999 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1000 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1001 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1002 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1003 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1004 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1005 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1006 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1007 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1008 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1009 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1010 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1011 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1012 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1013 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1014 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1015 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1016 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1017 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1018 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1019 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1020 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1021 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1022 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1023 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 266 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 267 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 298 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 299 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 330 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 331 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 362 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 363 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 778 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 779 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 810 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 811 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 842 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 843 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 874 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 875 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 0 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 2 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 3 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 4 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 5 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 6 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 7 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 8 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 9 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 10 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 11 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 12 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 13 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 14 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 15 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 16 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 17 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 18 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 19 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 20 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 21 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 22 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 23 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 24 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 25 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 26 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 27 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 28 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 29 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 30 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 31 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 32 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 33 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 34 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 35 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 36 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 37 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 38 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 39 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 40 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 41 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 42 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 43 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 46 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! -0 47 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! -0 48 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 49 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 50 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 51 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 52 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 53 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 54 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 55 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 56 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 57 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 58 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 59 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 60 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 61 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 62 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 63 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 64 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 65 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 66 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 67 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 68 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 69 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 70 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 71 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 72 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 73 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 74 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 75 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 76 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 77 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 78 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 79 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 80 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 81 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 82 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 83 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 84 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 85 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 86 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 87 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 88 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 89 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 90 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 91 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 92 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 93 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 94 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 95 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 96 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 97 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 98 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 99 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 100 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 101 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 102 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 103 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 104 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 105 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 106 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 107 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 108 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 109 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 110 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 111 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 112 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 113 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 114 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 115 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 116 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 117 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 118 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 119 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 120 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 121 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 122 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 123 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 124 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 125 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 126 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 127 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 128 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 129 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 130 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 131 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 132 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 133 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 134 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 135 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 136 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 137 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 138 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 139 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 140 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 141 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 142 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 143 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 144 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 145 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 146 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 147 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 148 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 149 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 150 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 151 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 152 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 153 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 154 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 155 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 156 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 157 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 158 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 159 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 160 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 161 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 162 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 163 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 164 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 165 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 166 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 167 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 168 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 169 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 170 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 171 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 174 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! -0 175 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! -0 176 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 177 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 178 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 179 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 180 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 181 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 182 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 183 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 184 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 185 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 186 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 187 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 188 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 189 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 190 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 191 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 192 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 193 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 194 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 195 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 196 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 197 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 198 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 199 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 200 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 201 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 202 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 203 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 204 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 205 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 206 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 207 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 208 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 209 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 210 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 211 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 212 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 213 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 214 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 215 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 216 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 217 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 218 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 219 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 220 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 221 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 222 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 223 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 224 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 225 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 226 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 227 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 228 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 229 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 230 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 231 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 232 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 233 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 234 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 235 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 236 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 237 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 238 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 239 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 240 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 241 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 242 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 243 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 244 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 245 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 246 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 247 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 248 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 249 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 250 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 251 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 252 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 253 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 254 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 255 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 264 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 265 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 272 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 273 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 274 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 275 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 276 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 277 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 278 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 279 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 280 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 281 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 282 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 283 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 284 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 285 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 286 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 287 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 296 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 297 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 302 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! -0 303 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! -0 304 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 305 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 306 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 307 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 308 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 309 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 310 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 311 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 312 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 313 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 314 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 315 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 316 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 317 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 318 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 319 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 320 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 321 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 322 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 323 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 324 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 325 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 326 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 327 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 328 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 329 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 332 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 333 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 334 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 335 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 336 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 337 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 338 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 339 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 340 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 341 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 342 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 343 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 344 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 345 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 346 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 347 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 348 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 349 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 350 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 351 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 352 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 353 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 354 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 355 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 356 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 357 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 358 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 359 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 360 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 361 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 364 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 365 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 366 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 367 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 368 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 369 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 370 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 371 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 372 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 373 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 374 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 375 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 376 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 377 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 378 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 379 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 380 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 381 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 382 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 383 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 384 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 385 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 386 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 387 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 388 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 389 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 390 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 391 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 392 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 393 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 394 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 395 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 396 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 397 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 398 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 399 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 400 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 401 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 402 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 403 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 404 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 405 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 406 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 407 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 408 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 409 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 410 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 411 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 412 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 413 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 414 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 415 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 416 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 417 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 418 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 419 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 420 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 421 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 422 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 423 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 424 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 425 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 426 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 427 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 430 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! 0 431 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! -0 432 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 433 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 434 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 435 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 436 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 437 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 438 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 439 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 440 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 441 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 442 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 443 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 444 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 445 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 446 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 447 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 448 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 449 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 450 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 451 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 452 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 453 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 454 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 455 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 456 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 457 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 458 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 459 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 460 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 461 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 462 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 463 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 464 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 465 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 466 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 467 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 468 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 469 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 470 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 471 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 472 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 473 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 474 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 475 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 476 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 477 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 478 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 479 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 480 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 481 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 482 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 483 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 484 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 485 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 486 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 487 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 488 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 489 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 490 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 491 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 492 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 493 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 494 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 495 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 496 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 497 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 498 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 499 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 500 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 501 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 502 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 503 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 504 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 505 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 506 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 507 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 508 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 509 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 510 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 511 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 512 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 513 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 514 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 515 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 516 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 517 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 518 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 519 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 520 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 521 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 522 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 523 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 524 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 525 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 526 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 527 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 528 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 529 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 530 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 531 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 532 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 533 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 534 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 535 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 536 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 537 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 538 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 539 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 540 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 541 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 542 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 543 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 544 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 545 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 546 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 547 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 548 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 549 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 550 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 551 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 552 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 553 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 554 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 555 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 556 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 557 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 558 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 559 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 560 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 561 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 562 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 563 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 564 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 565 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 566 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 567 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 568 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 569 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 570 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 571 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 572 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 573 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 574 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 575 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 576 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 577 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 578 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 579 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 580 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 581 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 582 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 583 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 584 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 585 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 586 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 587 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 588 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 589 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 590 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 591 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 592 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 593 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 594 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 595 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 596 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 597 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 598 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 599 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 600 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 601 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 602 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 603 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 604 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 605 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 606 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 607 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 608 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 609 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 610 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 611 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 612 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 613 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 614 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 615 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 616 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 617 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 618 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 619 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 620 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 621 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 622 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 623 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 624 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 625 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 626 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 627 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 628 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 629 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 630 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 631 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 632 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 633 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 634 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 635 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 636 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 637 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 638 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 639 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 640 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 641 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 642 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 643 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 644 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 645 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 646 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 647 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 648 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 649 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 650 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 651 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 652 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 653 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 654 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 655 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 656 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 657 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 658 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 659 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 660 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 661 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 662 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 663 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 664 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 665 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 666 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 667 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 668 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 669 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 670 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 671 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 672 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 673 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 674 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 675 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 676 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 677 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 678 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 679 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 680 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 681 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 682 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 683 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 684 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 685 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 686 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 687 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 688 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 689 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 690 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 691 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 692 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 693 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 694 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 695 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 696 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 697 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 698 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 699 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 700 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 701 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 702 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 703 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 704 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 705 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 706 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 707 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 708 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 709 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 710 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 711 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 712 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 713 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 714 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 715 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 716 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 717 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 718 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 719 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 720 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 721 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 722 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 723 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 724 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 725 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 726 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 727 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 728 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 729 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 730 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 731 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 732 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 733 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 734 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 735 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 736 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 737 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 738 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 739 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 740 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 741 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 742 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 743 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 744 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 745 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 746 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 747 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 748 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 749 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 750 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 751 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 752 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 753 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 754 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 755 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 756 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 757 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 758 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 759 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 760 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 761 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 762 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 763 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 764 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 765 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 766 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 767 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 768 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 769 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 770 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 771 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 772 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 773 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 774 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 775 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 776 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 777 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 780 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 781 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 782 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 783 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 784 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 785 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 786 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 787 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 788 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 789 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 790 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 791 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 792 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 793 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 794 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 795 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 796 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 797 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 798 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 799 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 800 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 801 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 802 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 803 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 804 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 805 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 806 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 807 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 808 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 809 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 812 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 813 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 814 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 815 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 816 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 817 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 818 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 819 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 820 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 821 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 822 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 823 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 824 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 825 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 826 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 827 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 828 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 829 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 830 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 831 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 832 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 833 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 834 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 835 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 836 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 837 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 838 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 839 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 840 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 841 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 844 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 845 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 846 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 847 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 848 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 849 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 850 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 851 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 852 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 853 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 854 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 855 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 856 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 857 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 858 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 859 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 860 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 861 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 862 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 863 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 864 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 865 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 866 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 867 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 868 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 869 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 870 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 871 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 872 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 873 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 876 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 877 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 878 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 879 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 880 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 881 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 882 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 883 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 884 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 885 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 886 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 887 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 888 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 889 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 890 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 891 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 892 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 893 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 894 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 895 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 896 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 897 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 898 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 899 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 900 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 901 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 902 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 903 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 904 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 905 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 906 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 907 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 908 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 909 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 910 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 911 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 912 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 913 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 914 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 915 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 916 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 917 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 918 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 919 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 920 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 921 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 922 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 923 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 924 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 925 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 926 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 927 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 928 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 929 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 930 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 931 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 932 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 933 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 934 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 935 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 936 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 937 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 938 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 939 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 940 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 941 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 942 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 943 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 944 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 945 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 946 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 947 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 948 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 949 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 950 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 951 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 952 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 953 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 954 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 955 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 956 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 957 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 958 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 959 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 960 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 961 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 962 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 963 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 964 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 965 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 966 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 967 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 968 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 969 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 970 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 971 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 972 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 973 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 974 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 975 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 976 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 977 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 978 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 979 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 980 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 981 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 982 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 983 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 984 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 985 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 986 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 987 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 988 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 989 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 990 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 991 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 992 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 993 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 994 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 995 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 996 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 997 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 998 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 999 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1000 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1001 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1002 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1003 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1004 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1005 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1006 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1007 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1008 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1009 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1010 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1011 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1012 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1013 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1014 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1015 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1016 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1017 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1018 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1019 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1020 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1021 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1022 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 430 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 303 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 302 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 175 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 174 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 47 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 46 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 873 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 872 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 841 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 840 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 809 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 808 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 777 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 776 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 361 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 360 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 329 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 328 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 297 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 296 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 265 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 264 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! 0 1023 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 44 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 45 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 172 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 173 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 256 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 257 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 258 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 259 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 260 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 261 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 262 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 263 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 266 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 267 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 268 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 269 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 270 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 271 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 288 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 289 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 290 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 291 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 292 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 293 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 294 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 295 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 298 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 299 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 300 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 301 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 330 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 331 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 362 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 363 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 428 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 429 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 778 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 779 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 810 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 811 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 842 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 843 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 874 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 875 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 0 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 2 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 3 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 4 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 5 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 6 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 7 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 8 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 9 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 10 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 11 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 12 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 13 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 14 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 15 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 16 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 17 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 18 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 19 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 20 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 21 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 22 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 23 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 24 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 25 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 26 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 27 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 28 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 29 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 30 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 31 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 32 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 33 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 34 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 35 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 36 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 37 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 38 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 39 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 40 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 41 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 42 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 43 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 46 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 47 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 48 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 49 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 50 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 51 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 52 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 53 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 54 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 55 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 56 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 57 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 58 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 59 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 60 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 61 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 62 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 63 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 64 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 65 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 66 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 67 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 68 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 69 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 70 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 71 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 72 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 73 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 74 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 75 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 76 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 77 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 78 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 79 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 80 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 81 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 82 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 83 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 84 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 85 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 86 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 87 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 88 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 89 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 90 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 91 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 92 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 93 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 94 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 95 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 96 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 97 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 98 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 99 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 100 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 101 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 102 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 103 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 104 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 105 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 106 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 107 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 108 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 109 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 110 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 111 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 112 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 113 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 114 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 115 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 116 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 117 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 118 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 119 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 120 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 121 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 122 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 123 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 124 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 125 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 126 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 127 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 128 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 129 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 130 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 131 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 132 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 133 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 134 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 135 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 136 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 137 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 138 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 139 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 140 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 141 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 142 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 143 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 144 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 145 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 146 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 147 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 148 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 149 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 150 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 151 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 152 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 153 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 154 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 155 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 156 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 157 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 158 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 159 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 160 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 161 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 162 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 163 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 164 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 165 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 166 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 167 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 168 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 169 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 170 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 171 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 174 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 175 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 176 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 177 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 178 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 179 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 180 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 181 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 182 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 183 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 184 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 185 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 186 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 187 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 188 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 189 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 190 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 191 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 192 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 193 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 194 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 195 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 196 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 197 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 198 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 199 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 200 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 201 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 202 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 203 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 204 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 205 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 206 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 207 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 208 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 209 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 210 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 211 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 212 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 213 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 214 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 215 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 216 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 217 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 218 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 219 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 220 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 221 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 222 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 223 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 224 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 225 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 226 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 227 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 228 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 229 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 230 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 231 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 232 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 233 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 234 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 235 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 236 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 237 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 238 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 239 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 240 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 241 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 242 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 243 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 244 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 245 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 246 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 247 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 248 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 249 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 250 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 251 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 252 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 253 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 254 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 255 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 264 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 265 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 272 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 273 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 274 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 275 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 276 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 277 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 278 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 279 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 280 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 281 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 282 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 283 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 284 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 285 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 286 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 287 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 296 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 297 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 302 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 303 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 304 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 305 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 306 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 307 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 308 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 309 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 310 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 311 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 312 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 313 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 314 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 315 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 316 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 317 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 318 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 319 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 320 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 321 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 322 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 323 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 324 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 325 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 326 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 327 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 328 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 329 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 332 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 333 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 334 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 335 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 336 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 337 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 338 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 339 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 340 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 341 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 342 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 343 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 344 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 345 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 346 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 347 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 348 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 349 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 350 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 351 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 352 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 353 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 354 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 355 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 356 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 357 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 358 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 359 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 360 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 361 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 364 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 365 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 366 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 367 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 368 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 369 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 370 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 371 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 372 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 373 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 374 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 375 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 376 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 377 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 378 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 379 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 380 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 381 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 382 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 383 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 384 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 385 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 386 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 387 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 388 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 389 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 390 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 391 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 392 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 393 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 394 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 395 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 396 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 397 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 398 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 399 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 400 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 401 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 402 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 403 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 404 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 405 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 406 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 407 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 408 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 409 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 410 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 411 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 412 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 413 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 414 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 415 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 416 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 417 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 418 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 419 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 420 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 421 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 422 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 423 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 424 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 425 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 426 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 427 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 430 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 431 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 432 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 433 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 434 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 435 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 436 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 437 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 438 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 439 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 440 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 441 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 442 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 443 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 444 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 445 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 446 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 447 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 448 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 449 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 450 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 451 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 452 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 453 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 454 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 455 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 456 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 457 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 458 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 459 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 460 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 461 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 462 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 463 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 464 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 465 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 466 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 467 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 468 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 469 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 470 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 471 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 472 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 473 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 474 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 475 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 476 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 477 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 478 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 479 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 480 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 481 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 482 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 483 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 484 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 485 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 486 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 487 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 488 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 489 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 490 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 491 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 492 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 493 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 494 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 495 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 496 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 497 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 498 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 499 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 500 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 501 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 502 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 503 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 504 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 505 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 506 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 507 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 508 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 509 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 510 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 511 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 512 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 513 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 514 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 515 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 516 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 517 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 518 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 519 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 520 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 521 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 522 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 523 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 524 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 525 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 526 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 527 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 528 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 529 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 530 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 531 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 532 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 533 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 534 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 535 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 536 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 537 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 538 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 539 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 540 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 541 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 542 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 543 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 544 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 545 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 546 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 547 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 548 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 549 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 550 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 551 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 552 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 553 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 554 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 555 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 556 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 557 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 558 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 559 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 560 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 561 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 562 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 563 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 564 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 565 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 566 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 567 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 568 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 569 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 570 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 571 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 572 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 573 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 574 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 575 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 576 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 577 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 578 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 579 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 580 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 581 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 582 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 583 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 584 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 585 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 586 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 587 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 588 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 589 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 590 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 591 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 592 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 593 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 594 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 595 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 596 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 597 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 598 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 599 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 600 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 601 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 602 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 603 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 604 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 605 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 606 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 607 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 608 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 609 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 610 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 611 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 612 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 613 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 614 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 615 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 616 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 617 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 618 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 619 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 620 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 621 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 622 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 623 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 624 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 625 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 626 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 627 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 628 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 629 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 630 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 631 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 632 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 633 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 634 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 635 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 636 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 637 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 638 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 639 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 640 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 641 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 642 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 643 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 644 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 645 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 646 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 647 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 648 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 649 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 650 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 651 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 652 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 653 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 654 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 655 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 656 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 657 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 658 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 659 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 660 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 661 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 662 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 663 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 664 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 665 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 666 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 667 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 668 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 669 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 670 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 671 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 672 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 673 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 674 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 675 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 676 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 677 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 678 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 679 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 680 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 681 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 682 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 683 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 684 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 685 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 686 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 687 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 688 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 689 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 690 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 691 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 692 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 693 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 694 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 695 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 696 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 697 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 698 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 699 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 700 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 701 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 702 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 703 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 704 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 705 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 706 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 707 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 708 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 709 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 710 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 711 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 712 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 713 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 714 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 715 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 716 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 717 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 718 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 719 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 720 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 721 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 722 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 723 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 724 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 725 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 726 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 727 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 728 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 729 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 730 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 731 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 732 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 733 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 734 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 735 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 736 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 737 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 738 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 739 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 740 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 741 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 742 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 743 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 744 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 745 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 746 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 747 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 748 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 749 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 750 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 751 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 752 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 753 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 754 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 755 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 756 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 757 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 758 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 759 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 760 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 761 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 762 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 763 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 764 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 765 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 766 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 767 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 768 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 769 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 770 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 771 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 772 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 773 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 774 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 775 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 776 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 777 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 780 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 781 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 782 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 783 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 784 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 785 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 786 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 787 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 788 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 789 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 790 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 791 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 792 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 793 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 794 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 795 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 796 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 797 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 798 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 799 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 800 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 801 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 802 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 803 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 804 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 805 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 806 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 807 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 808 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 809 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 812 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 813 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 814 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 815 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 816 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 817 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 818 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 819 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 820 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 821 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 822 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 823 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 824 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 825 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 826 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 827 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 828 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 829 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 830 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 831 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 832 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 833 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 834 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 835 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 836 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 837 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 838 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 839 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 840 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 841 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 844 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 845 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 846 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 847 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 848 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 849 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 850 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 851 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 852 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 853 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 854 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 855 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 856 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 857 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 858 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 859 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 860 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 861 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 862 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 863 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 864 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 865 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 866 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 867 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 868 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 869 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 870 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 871 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 872 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 873 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 876 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 877 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 878 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1022 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1021 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1020 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1019 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1018 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1017 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1016 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1015 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1014 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1013 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1012 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1011 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1010 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1009 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1008 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1007 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1006 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1005 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1004 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1003 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1002 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1001 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1000 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 999 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 998 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 997 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 996 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 995 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 994 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 993 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 992 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 991 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 990 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 989 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 988 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 987 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 986 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 985 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 984 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 983 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 982 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 981 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 980 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 979 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 978 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 977 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 976 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 975 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 974 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 973 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 972 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 971 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 970 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 969 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 968 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 967 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 966 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 965 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 964 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 963 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 962 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 961 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 960 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 959 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 958 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 957 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 956 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 955 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 954 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 953 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 952 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 951 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 950 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 949 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 948 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 947 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 946 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 945 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 944 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 943 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 942 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 941 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 940 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 939 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 938 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 937 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 936 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 935 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 934 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 933 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 932 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 931 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 930 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 929 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 928 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 927 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 926 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 925 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 924 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 923 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 922 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 921 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 920 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 919 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 918 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 917 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 916 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 915 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 914 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 913 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 912 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 911 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 910 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 909 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 908 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 907 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 906 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 905 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 904 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 903 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 902 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 901 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 900 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 899 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 898 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 897 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 896 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 895 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 894 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 893 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 892 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 891 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 890 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 889 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 888 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 887 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 886 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 885 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 884 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 883 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 882 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 881 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 880 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! 0 879 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 880 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 881 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 882 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 883 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 884 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 885 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 886 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 887 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 888 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 889 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 890 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 891 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 892 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 893 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 894 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 895 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 896 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 897 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 898 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 899 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 900 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 901 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 902 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 903 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 904 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 905 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 906 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 907 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 908 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 909 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 910 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 911 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 912 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 913 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 914 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 915 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 916 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 917 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 918 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 919 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 920 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 921 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 922 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 923 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 924 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 925 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 926 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 927 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 928 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 929 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 930 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 931 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 932 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 933 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 934 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 935 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 936 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 937 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 938 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 939 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 940 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 941 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 942 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 943 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 944 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 945 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 946 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 947 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 948 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 949 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 950 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 951 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 952 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 953 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 954 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 955 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 956 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 957 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 958 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 959 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 960 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 961 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 962 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 963 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 964 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 965 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 966 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 967 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 968 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 969 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 970 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 971 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 972 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 973 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 974 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 975 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 976 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 977 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 978 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 979 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 980 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 981 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 982 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 983 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 984 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 985 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 986 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 987 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 988 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 989 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 990 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 991 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 992 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 993 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 994 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 995 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 996 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 997 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 998 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 999 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1000 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1001 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1002 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1003 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1004 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1005 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1006 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1007 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1008 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1009 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1010 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1011 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1012 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1013 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1014 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1015 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1016 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1017 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1018 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1019 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1020 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1021 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1022 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 878 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 877 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 876 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 875 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 874 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 873 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 872 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 871 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 870 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 869 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 868 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 867 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 866 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 865 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 864 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 863 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 862 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 861 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 860 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 859 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 858 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 857 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 856 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 855 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 854 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 853 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 852 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 851 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 850 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 849 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 848 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 847 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 846 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 845 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 844 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 843 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 842 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 841 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 840 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 839 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 838 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 837 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 836 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 835 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 834 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 833 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 832 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 831 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 830 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 829 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 828 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 827 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 826 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 825 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 824 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 823 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 822 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 821 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 820 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 819 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 818 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 817 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 816 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 815 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 814 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 813 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 812 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 811 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 810 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 809 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 808 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 807 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 806 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 805 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 804 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 803 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 802 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 801 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 800 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 799 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 798 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 797 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 796 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 795 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 794 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 793 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 792 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 791 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 790 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 789 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 788 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 787 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 786 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 785 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 784 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 783 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 782 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 781 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 780 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 779 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 778 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 777 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 776 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 775 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 774 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 773 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 772 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 771 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 770 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 769 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 768 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 767 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 766 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 765 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 764 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 763 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 762 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 761 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 760 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 759 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 758 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 757 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 756 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 755 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 754 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 753 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 752 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 751 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 750 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 749 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 748 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 747 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 746 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 745 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 744 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 743 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 742 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 741 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 740 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 739 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 738 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 737 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 736 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 735 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 734 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 733 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 732 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 731 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 730 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 729 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 728 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 727 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 726 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 725 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 724 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 723 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 722 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 721 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 720 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 719 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 718 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 717 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 716 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 715 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 714 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 713 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 712 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 711 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 710 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 709 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 708 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 707 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 706 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 705 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 704 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 703 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 702 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 701 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 700 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 699 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 698 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 697 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 696 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 695 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 694 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 693 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 692 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 691 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 690 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 689 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 688 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 687 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 686 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 685 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 684 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 683 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 682 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 681 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 680 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 679 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 678 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 677 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 676 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 675 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 674 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 673 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 672 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 671 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 670 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 669 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 668 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 667 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 666 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 665 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 664 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 663 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 662 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 661 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 660 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 659 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 658 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 657 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 656 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 655 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 654 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 653 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 652 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 651 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 650 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 649 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 648 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 647 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 646 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 645 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 644 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 643 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 642 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 641 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 640 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 639 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 638 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 637 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 636 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 635 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 634 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 633 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 632 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 631 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 630 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 629 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 628 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 627 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 626 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 625 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 624 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 623 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 622 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 621 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 620 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 619 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 618 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 617 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 616 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 615 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 614 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 613 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 612 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 611 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 610 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 609 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 608 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 607 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 606 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 605 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 604 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 603 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 602 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 601 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 600 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 599 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 598 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 597 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 596 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 595 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 594 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 593 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 592 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 591 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 590 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 589 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 588 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 587 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 586 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 585 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 584 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 583 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 582 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 581 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 580 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 579 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 578 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 577 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 576 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 575 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 574 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 573 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 572 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 571 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 570 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 569 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 568 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 567 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 566 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 565 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 564 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 563 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 562 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 561 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 560 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 559 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 558 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 557 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 556 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 555 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 554 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 553 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 552 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 551 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 550 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 549 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 548 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 547 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 546 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 545 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 544 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 543 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 542 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 541 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 540 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 539 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 538 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 537 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 536 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 535 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 534 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 533 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 532 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 531 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 530 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 529 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 528 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 527 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 526 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 525 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 524 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 523 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 522 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 521 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 520 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 519 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 518 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 517 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 516 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 515 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 514 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 513 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 512 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 511 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 510 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 509 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 508 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 507 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 506 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 505 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 504 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 503 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 502 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 501 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 500 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 499 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 498 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 497 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 496 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 495 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 494 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 493 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 492 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 491 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 490 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 489 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 488 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 487 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 486 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 485 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 484 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 483 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 482 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 481 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 480 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 479 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 478 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 477 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 476 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 475 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 474 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 473 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 472 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 471 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 470 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 469 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 468 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 467 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 466 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 465 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 464 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 463 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 462 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 461 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 460 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 459 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 458 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 457 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 456 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 455 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 454 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 453 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 452 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 451 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 450 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 449 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 448 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 447 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 446 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 445 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 444 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 443 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 442 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 441 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 440 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 439 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 438 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 437 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 436 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 435 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 434 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 433 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 432 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 431 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 430 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 429 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 428 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 427 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 426 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 425 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 424 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 423 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 422 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 421 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 420 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 419 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 418 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 417 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 416 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 415 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 414 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 413 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 412 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 411 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 410 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 409 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 408 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 407 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 406 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 405 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 404 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 403 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 402 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 401 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 400 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 399 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 398 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 397 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 396 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 395 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 394 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 393 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 392 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 391 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 390 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 389 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 388 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 387 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 386 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 385 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 384 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 383 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 382 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 381 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 380 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 379 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 378 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 377 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 376 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 375 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 374 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 373 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 372 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 371 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 370 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 369 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 368 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 367 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 366 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 365 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 364 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 363 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 362 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 361 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 360 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 359 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 358 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 357 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 356 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 355 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 354 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 353 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 352 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 351 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 350 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 349 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 348 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 347 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 346 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 345 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 344 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 343 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 342 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 341 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 340 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 339 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 338 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 337 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 336 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 335 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 334 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 333 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 332 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 331 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 330 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 329 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 328 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 327 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 326 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 325 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 324 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 323 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 322 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 321 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 320 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 319 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 318 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 317 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 316 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 315 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 314 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 313 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 312 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 311 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 310 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 309 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 308 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 307 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 306 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 305 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 304 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 303 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 302 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 301 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 300 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 299 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 298 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 297 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 296 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 295 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 294 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 293 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 292 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 291 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 290 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 289 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 288 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 287 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 286 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 285 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 284 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 283 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 282 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 281 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 280 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 279 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 278 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 277 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 276 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 275 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 274 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 273 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 272 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 271 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 270 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 269 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 268 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 267 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 266 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 265 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 264 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 263 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 262 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 261 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 260 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 259 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 258 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 257 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 256 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 255 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 254 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 253 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 252 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 251 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 250 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 249 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 248 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 247 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 246 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 245 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 244 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 243 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 242 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 241 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 240 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 239 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 238 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 237 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 236 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 235 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 234 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 233 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 232 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 231 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 230 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 229 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 228 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 227 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 226 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 225 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 224 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 223 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 222 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 221 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 220 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 219 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 218 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 217 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 216 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 215 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 214 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 213 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 212 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 211 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 210 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 209 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 208 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 207 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 206 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 205 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 204 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 203 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 202 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 201 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 200 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 199 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 198 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 197 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 196 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 195 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 194 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 193 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 192 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 191 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 190 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 189 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 188 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 187 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 186 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 185 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 184 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 183 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 182 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 181 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 180 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 179 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 178 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 177 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 176 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 175 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 174 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 173 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 172 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 171 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 170 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 169 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 168 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 167 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 166 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 165 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 164 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 163 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 162 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 161 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 160 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 159 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 158 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 157 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 156 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 155 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 154 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 153 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 152 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 151 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 150 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 149 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 148 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 147 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 146 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 145 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 144 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 143 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 142 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 141 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 140 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 139 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 138 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 137 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 136 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 135 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 134 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 133 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 132 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 131 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 130 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 129 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 128 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 127 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 126 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 125 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 124 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 123 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 122 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 121 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 120 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 119 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 118 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 117 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 116 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 115 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 114 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 113 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 112 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 111 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 110 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 109 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 108 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 107 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 106 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 105 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 104 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 103 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 102 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 101 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 100 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 99 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 98 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 97 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 96 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 95 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 94 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 93 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 92 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 91 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 90 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 89 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 88 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 87 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 86 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 85 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 84 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 83 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 82 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 81 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 80 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 79 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 78 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 77 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 76 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 75 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 74 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 73 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 72 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 71 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 70 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 69 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 68 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 67 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 66 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 65 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 64 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 63 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 62 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 61 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 60 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 59 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 58 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 57 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 56 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 55 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 54 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 53 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 52 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 51 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 50 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 49 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 48 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 47 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 46 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 45 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 44 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 43 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 42 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 41 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 40 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 39 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 38 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 37 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 36 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 35 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 34 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 33 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 32 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 31 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 30 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 29 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 28 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 27 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 26 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 25 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 24 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 23 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 22 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 21 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 20 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 19 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 18 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 17 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 16 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 15 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 14 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 13 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 12 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 11 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 10 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 9 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 8 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 7 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 6 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 5 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 4 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 3 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 2 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 0 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 540 0001000000000001101110010000000000000000000000000000000000000001 ---> passed! +0 264 0001000000000011101011110000000000000000000000000000000000000001 ---> passed! +0 787 0001000000000001110010100000000000000000000000000000000000000001 ---> passed! 0 1023 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 44 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 45 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 172 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 173 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 330 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 331 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 362 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 363 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 428 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 429 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 778 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 779 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 810 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 811 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 842 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 843 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 874 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1022 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1021 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1020 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1019 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1018 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1017 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1016 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1015 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1014 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1013 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1012 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1011 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1010 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1009 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1008 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1007 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1006 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1005 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1004 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1003 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1002 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1001 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1000 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 999 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 998 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 997 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 996 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 995 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 994 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 993 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 992 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 991 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 990 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 989 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 988 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 987 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 986 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 985 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 984 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 983 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 982 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 981 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 980 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 979 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 978 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 977 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 976 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 975 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 974 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 973 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 972 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 971 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 970 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 969 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 968 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 967 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 966 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 965 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 964 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 963 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 962 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 961 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 960 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 959 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 958 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 957 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 956 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 955 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 954 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 953 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 952 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 951 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 950 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 949 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 948 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 947 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 946 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 945 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 944 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 943 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 942 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 941 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 940 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 939 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 938 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 937 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 936 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 935 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 934 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 933 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 932 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 931 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 930 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 929 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 928 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 927 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 926 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 925 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 924 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 923 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 922 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 921 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 920 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 919 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 918 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 917 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 916 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 915 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 914 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 913 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 912 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 911 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 910 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 909 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 908 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 907 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 906 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 905 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 904 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 903 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 902 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 901 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 900 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 899 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 898 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 897 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 896 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 895 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 894 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 893 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 892 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 891 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 890 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 889 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 888 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 887 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 886 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 885 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 884 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 883 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 882 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 881 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 880 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 879 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 878 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 877 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 876 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! 0 875 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 46 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 47 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 174 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 175 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 192 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 193 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 194 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 195 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 196 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 197 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 198 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 199 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 200 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 201 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 202 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 203 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 204 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 205 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 206 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 207 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 208 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 209 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 210 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 211 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 212 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 213 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 214 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 215 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 216 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 217 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 218 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 219 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 220 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 221 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 222 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 223 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 224 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 225 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 226 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 227 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 228 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 229 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 230 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 231 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 232 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 233 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 234 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 235 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 236 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 237 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 238 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 239 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 240 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 241 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 242 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 243 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 244 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 245 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 246 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 247 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 248 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 249 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 250 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 251 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 252 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 253 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 254 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 255 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 328 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 329 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 360 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 361 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 430 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 431 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 448 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 449 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 450 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 451 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 452 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 453 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 454 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 455 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 456 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 457 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 458 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 459 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 460 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 461 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 462 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 463 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 464 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 465 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 466 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 467 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 468 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 469 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 470 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 471 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 472 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 473 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 474 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 475 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 476 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 477 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 478 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 479 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 480 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 481 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 482 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 483 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 484 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 485 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 486 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 487 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 488 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 489 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 490 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 491 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 492 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 493 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 494 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 495 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 496 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 497 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 498 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 499 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 500 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 501 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 502 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 503 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 504 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 505 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 506 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 507 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 508 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 509 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 510 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 511 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 640 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 641 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 642 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 643 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 644 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 645 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 646 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 647 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 648 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 649 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 650 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 651 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 652 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 653 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 654 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 655 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 656 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 657 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 658 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 659 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 660 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 661 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 662 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 663 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 664 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 665 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 666 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 667 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 668 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 669 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 670 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 671 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 672 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 673 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 674 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 675 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 676 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 677 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 678 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 679 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 680 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 681 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 682 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 683 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 684 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 685 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 686 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 687 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 688 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 689 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 690 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 691 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 692 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 693 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 694 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 695 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 696 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 697 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 698 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 699 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 700 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 701 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 702 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 703 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 704 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 705 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 706 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 707 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 708 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 709 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 710 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 711 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 712 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 713 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 714 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 715 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 716 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 717 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 718 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 719 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 720 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 721 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 722 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 723 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 724 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 725 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 726 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 727 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 728 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 729 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 730 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 731 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 732 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 733 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 734 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 735 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 736 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 737 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 738 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 739 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 740 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 741 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 742 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 743 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 744 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 745 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 746 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 747 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 748 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 749 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 750 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 751 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 752 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 753 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 754 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 755 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 756 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 757 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 758 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 759 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 760 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 761 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 762 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 763 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 764 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 765 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 766 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 767 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 776 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 777 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 808 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 809 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 840 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 841 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 872 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 874 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! 0 873 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 896 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 897 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 898 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 899 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 900 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 901 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 902 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 903 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 904 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 905 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 906 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 907 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 908 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 909 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 910 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 911 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 912 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 913 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 914 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 915 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 916 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 917 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 918 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 919 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 920 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 921 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 922 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 923 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 924 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 925 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 926 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 927 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 928 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 929 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 930 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 931 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 932 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 933 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 934 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 935 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 936 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 937 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 938 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 939 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 940 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 941 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 942 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 943 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 944 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 945 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 946 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 947 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 948 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 949 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 950 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 951 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 952 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 953 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 954 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 955 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 956 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 957 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 958 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 959 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 960 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 961 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 962 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 963 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 964 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 965 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 966 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 967 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 968 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 969 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 970 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 971 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 972 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 973 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 974 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 975 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 976 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 977 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 978 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 979 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 980 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 981 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 982 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 983 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 984 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 985 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 986 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 987 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 988 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 989 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 990 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 991 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 992 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 993 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 994 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 995 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 996 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 997 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 998 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 999 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1000 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1001 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1002 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1003 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1004 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1005 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1006 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1007 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1008 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1009 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1010 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1011 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1012 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1013 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1014 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1015 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1016 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1017 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1018 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1019 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1020 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1021 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1022 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1023 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 128 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 129 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 130 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 131 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 132 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 133 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 134 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 135 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 136 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 137 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 138 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 139 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 140 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 141 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 142 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 143 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 144 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 145 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 146 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 147 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 148 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 149 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 150 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 151 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 152 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 153 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 154 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 155 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 156 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 157 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 158 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 159 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 160 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 161 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 162 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 163 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 164 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 165 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 166 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 167 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 168 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 169 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 170 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 171 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 176 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 177 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 178 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 179 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 180 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 181 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 182 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 183 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 184 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 185 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 186 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 187 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 188 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 189 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 190 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 191 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 256 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 257 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 258 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 259 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 260 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 261 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 262 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 263 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 264 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 265 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 266 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 267 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 268 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 269 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 270 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 271 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 288 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 289 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 290 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 291 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 292 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 293 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 294 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 295 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 296 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 297 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 298 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 299 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 300 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 301 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 302 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 872 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 871 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 870 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 869 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 868 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 867 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 866 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 865 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 864 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 863 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 862 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 861 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 860 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 859 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 858 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 857 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 856 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 855 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 854 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 853 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 852 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 851 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 850 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 849 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 848 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 847 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 846 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 845 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 844 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 843 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 842 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 841 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 840 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 839 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 838 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 837 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 836 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 835 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 834 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 833 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 832 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 831 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 830 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 829 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 828 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 827 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 826 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 825 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 824 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 823 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 822 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 821 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 820 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 819 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 818 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 817 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 816 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 815 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 814 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 813 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 812 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 811 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 810 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 809 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 808 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 807 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 806 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 805 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 804 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 803 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 802 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 801 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 800 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 799 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 798 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 797 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 796 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 795 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 794 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 793 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 792 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 791 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 790 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 789 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 788 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 787 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 786 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 785 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 784 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 783 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 782 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 781 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 780 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 779 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 778 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 777 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 776 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 775 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 774 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 773 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 772 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 771 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 770 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 769 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 768 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 767 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 766 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 765 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 764 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 763 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 762 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 761 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 760 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 759 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 758 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 757 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 756 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 755 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 754 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 753 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 752 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 751 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 750 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 749 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 748 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 747 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 746 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 745 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 744 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 743 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 742 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 741 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 740 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 739 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 738 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 737 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 736 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 735 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 734 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 733 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 732 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 731 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 730 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 729 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 728 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 727 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 726 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 725 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 724 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 723 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 722 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 721 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 720 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 719 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 718 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 717 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 716 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 715 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 714 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 713 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 712 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 711 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 710 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 709 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 708 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 707 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 706 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 705 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 704 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 703 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 702 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 701 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 700 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 699 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 698 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 697 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 696 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 695 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 694 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 693 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 692 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 691 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 690 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 689 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 688 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 687 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 686 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 685 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 684 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 683 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 682 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 681 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 680 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 679 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 678 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 677 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 676 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 675 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 674 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 673 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 672 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 671 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 670 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 669 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 668 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 667 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 666 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 665 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 664 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 663 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 662 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 661 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 660 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 659 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 658 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 657 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 656 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 655 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 654 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 653 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 652 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 651 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 650 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 649 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 648 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 647 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 646 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 645 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 644 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 643 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 642 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 641 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 640 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 639 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 638 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 637 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 636 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 635 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 634 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 633 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 632 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 631 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 630 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 629 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 628 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 627 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 626 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 625 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 624 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 623 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 622 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 621 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 620 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 619 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 618 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 617 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 616 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 615 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 614 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 613 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 612 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 611 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 610 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 609 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 608 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 607 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 606 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 605 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 604 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 603 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 602 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 601 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 600 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 599 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 598 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 597 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 596 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 595 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 594 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 593 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 592 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 591 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 590 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 589 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 588 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 587 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 586 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 585 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 584 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 583 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 582 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 581 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 580 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 579 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 578 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 577 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 576 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 575 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 574 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 573 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 572 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 571 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 570 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 569 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 568 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 567 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 566 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 565 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 564 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 563 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 562 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 561 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 560 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 559 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 558 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 557 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 556 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 555 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 554 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 553 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 552 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 551 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 550 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 549 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 548 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 547 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 546 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 545 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 544 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 543 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 542 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 541 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 540 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 539 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 538 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 537 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 536 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 535 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 534 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 533 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 532 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 531 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 530 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 529 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 528 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 527 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 526 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 525 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 524 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 523 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 522 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 521 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 520 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 519 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 518 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 517 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 516 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 515 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 514 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 513 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 512 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 511 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 510 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 509 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 508 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 507 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 506 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 505 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 504 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 503 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 502 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 501 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 500 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 499 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 498 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 497 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 496 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 495 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 494 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 493 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 492 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 491 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 490 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 489 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 488 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 487 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 486 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 485 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 484 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 483 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 482 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 481 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 480 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 479 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 478 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 477 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 476 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 475 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 474 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 473 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 472 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 471 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 470 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 469 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 468 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 467 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 466 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 465 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 464 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 463 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 462 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 461 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 460 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 459 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 458 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 457 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 456 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 455 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 454 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 453 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 452 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 451 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 450 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 449 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 448 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 447 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 446 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 445 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 444 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 443 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 442 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 441 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 440 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 439 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 438 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 437 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 436 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 435 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 434 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 433 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 432 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 431 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 430 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 429 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 428 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 427 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 426 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 425 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 424 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 423 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 422 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 421 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 420 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 419 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 418 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 417 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 416 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 415 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 414 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 413 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 412 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 411 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 410 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 409 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 408 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 407 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 406 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 405 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 404 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 403 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 402 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 401 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 400 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 399 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 398 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 397 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 396 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 395 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 394 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 393 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 392 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 391 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 390 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 389 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 388 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 387 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 386 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 385 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 384 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 383 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 382 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 381 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 380 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 379 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 378 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 377 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 376 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 375 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 374 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 373 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 372 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 371 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 370 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 369 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 368 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 367 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 366 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 365 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 364 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 363 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 362 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 361 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 360 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 359 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 358 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 357 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 356 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 355 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 354 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 353 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 352 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 351 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 350 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 349 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 348 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 347 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 346 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 345 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 344 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 343 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 342 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 341 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 340 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 339 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 338 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 337 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 336 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 335 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 334 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 333 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 332 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 331 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 330 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 329 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 328 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 327 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 326 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 325 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 324 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 323 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 322 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 321 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 320 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 319 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 318 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 317 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 316 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 315 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 314 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 313 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 312 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 311 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 310 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 309 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 308 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 307 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 306 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 305 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 304 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! 0 303 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 384 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 385 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 386 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 387 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 388 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 389 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 390 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 391 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 392 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 393 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 394 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 395 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 396 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 397 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 398 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 399 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 400 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 401 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 402 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 403 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 404 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 405 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 406 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 407 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 408 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 409 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 410 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 411 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 412 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 413 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 414 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 415 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 416 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 417 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 418 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 419 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 420 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 421 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 422 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 423 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 424 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 425 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 426 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 427 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 432 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 433 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 434 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 435 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 436 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 437 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 438 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 439 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 440 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 441 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 442 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 443 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 444 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 445 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 446 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 447 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 172 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 173 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 428 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 429 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 174 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 175 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 430 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 302 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 301 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 300 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 299 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 298 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 297 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 296 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 295 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 294 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 293 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 292 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 291 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 290 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 289 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 288 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 287 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 286 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 285 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 284 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 283 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 282 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 281 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 280 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 279 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 278 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 277 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 276 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 275 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 274 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 273 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 272 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 271 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 270 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 269 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 268 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 267 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 266 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 265 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 264 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 263 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 262 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 261 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 260 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 259 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 258 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 257 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 256 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 255 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 254 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 253 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 252 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 251 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 250 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 249 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 248 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 247 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 246 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 245 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 244 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 243 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 242 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 241 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 240 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 239 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 238 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 237 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 236 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 235 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 234 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 233 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 232 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 231 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 230 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 229 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 228 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 227 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 226 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 225 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 224 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 223 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 222 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 221 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 220 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 219 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 218 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 217 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 216 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 215 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 214 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 213 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 212 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 211 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 210 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 209 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 208 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 207 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 206 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 205 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 204 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 203 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 202 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 201 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 200 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 199 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 198 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 197 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 196 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 195 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 194 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 193 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 192 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 191 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 190 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 189 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 188 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 187 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 186 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 185 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 184 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 183 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 182 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 181 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 180 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 179 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 178 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 177 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 176 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 175 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 174 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 173 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 172 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 171 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 170 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 169 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 168 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 167 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 166 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 165 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 164 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 163 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 162 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 161 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 160 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 159 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 158 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 157 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 156 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 155 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 154 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 153 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 152 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 151 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 150 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 149 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 148 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 147 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 146 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 145 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 144 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 143 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 142 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 141 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 140 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 139 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 138 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 137 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 136 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 135 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 134 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 133 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 132 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 131 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 130 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 129 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 128 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 127 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 126 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 125 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 124 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 123 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 122 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 121 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 120 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 119 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 118 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 117 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 116 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 115 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 114 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 113 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 112 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 111 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 110 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 109 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 108 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 107 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 106 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 105 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 104 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 103 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 102 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 101 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 100 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 99 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 98 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 97 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 96 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 95 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 94 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 93 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 92 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 91 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 90 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 89 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 88 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 87 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 86 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 85 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 84 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 83 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 82 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 81 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 80 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 79 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 78 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 77 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 76 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 75 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 74 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 73 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 72 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 71 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 70 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 69 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 68 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 67 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 66 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 65 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 64 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 63 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 62 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 61 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 60 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 59 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 58 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 57 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 56 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 55 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 54 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 53 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 52 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 51 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 50 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 49 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 48 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 47 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 46 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 45 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 44 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 43 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 42 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 41 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 40 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 39 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 38 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 37 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 36 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 35 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 34 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 33 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 32 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 31 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 30 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 29 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 28 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 27 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 26 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 25 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 24 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 23 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 22 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 21 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 20 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 19 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 18 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 17 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 16 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 15 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 14 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 13 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 12 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 11 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 10 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 9 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 8 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 7 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 6 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 5 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 4 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 3 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 2 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 0 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1023 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1022 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1021 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1020 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1019 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1018 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1017 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1016 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1015 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1014 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1013 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1012 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1011 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1010 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1009 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1008 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1007 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1006 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1005 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1004 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1003 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1002 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1001 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1000 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 999 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 998 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 997 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 996 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 995 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 994 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 993 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 992 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 991 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 990 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 989 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 988 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 987 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 986 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 985 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 984 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 983 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 982 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 981 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 980 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 979 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 978 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 977 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 976 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 975 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 974 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 973 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 972 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 971 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 970 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 969 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 968 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 967 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 966 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 965 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 964 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 963 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 962 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 961 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 960 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 959 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 958 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 957 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 956 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 955 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 954 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 953 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 952 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 951 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 950 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 949 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 948 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 947 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 946 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 945 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 944 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 943 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 942 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 941 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 940 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 939 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 938 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 937 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 936 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 935 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 934 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 933 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 932 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 931 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 930 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 929 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 928 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 927 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 926 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 925 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 924 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 923 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 922 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 921 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 920 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 919 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 918 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 917 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 916 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 915 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 914 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 913 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 912 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 911 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 910 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 909 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 908 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 907 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 906 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 905 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 904 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 903 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 902 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 901 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 900 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 899 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 898 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 897 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 896 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 895 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 894 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 893 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 892 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 891 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 890 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 889 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 888 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 887 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 886 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 885 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 884 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 883 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 882 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 881 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 880 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 879 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 878 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 877 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 876 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 875 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 874 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 873 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 872 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 871 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 870 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 869 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 868 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 867 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 866 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 865 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 864 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 863 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 862 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 861 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 860 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 859 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 858 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 857 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 856 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 855 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 854 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 853 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 852 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 851 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 850 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 849 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 848 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 847 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 846 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 845 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 844 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 843 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 842 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 841 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 840 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 839 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 838 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 837 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 836 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 835 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 834 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 833 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 832 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 831 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 830 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 829 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 828 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 827 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 826 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 825 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 824 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 823 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 822 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 821 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 820 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 819 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 818 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 817 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 816 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 815 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 814 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 813 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 812 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 811 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 810 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 809 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 808 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 807 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 806 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 805 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 804 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 803 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 802 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 801 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 800 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 799 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 798 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 797 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 796 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 795 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 794 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 793 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 792 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 791 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 790 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 789 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 788 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 787 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 786 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 785 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 784 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 783 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 782 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 781 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 780 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 779 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 778 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 777 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 776 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 775 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 774 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 773 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 772 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 771 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 770 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 769 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 768 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 767 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 766 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 765 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 764 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 763 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 762 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 761 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 760 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 759 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 758 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 757 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 756 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 755 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 754 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 753 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 752 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 751 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 750 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 749 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 748 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 747 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 746 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 745 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 744 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 743 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 742 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 741 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 740 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 739 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 738 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 737 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 736 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 735 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 734 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 733 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 732 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 731 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 730 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 729 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 728 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 727 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 726 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 725 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 724 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 723 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 722 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 721 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 720 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 719 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 718 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 717 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 716 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 715 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 714 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 713 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 712 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 711 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 710 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 709 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 708 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 707 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 706 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 705 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 704 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 703 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 702 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 701 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 700 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 699 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 698 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 697 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 696 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 695 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 694 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 693 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 692 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 691 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 690 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 689 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 688 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 687 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 686 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 685 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 684 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 683 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 682 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 681 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 680 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 679 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 678 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 677 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 676 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 675 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 674 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 673 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 672 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 671 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 670 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 669 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 668 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 667 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 666 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 665 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 664 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 663 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 662 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 661 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 660 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 659 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 658 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 657 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 656 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 655 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 654 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 653 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 652 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 651 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 650 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 649 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 648 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 647 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 646 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 645 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 644 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 643 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 642 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 641 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 640 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 639 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 638 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 637 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 636 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 635 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 634 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 633 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 632 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 631 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 630 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 629 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 628 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 627 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 626 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 625 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 624 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 623 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 622 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 621 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 620 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 619 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 618 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 617 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 616 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 615 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 614 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 613 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 612 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 611 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 610 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 609 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 608 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 607 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 606 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 605 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 604 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 603 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 602 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 601 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 600 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 599 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 598 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 597 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 596 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 595 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 594 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 593 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 592 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 591 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 590 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 589 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 588 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 587 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 586 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 585 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 584 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 583 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 582 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 581 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 580 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 579 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 578 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 577 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 576 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 575 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 574 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 573 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 572 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 571 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 570 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 569 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 568 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 567 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 566 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 565 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 564 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 563 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 562 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 561 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 560 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 559 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 558 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 557 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 556 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 555 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 554 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 553 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 552 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 551 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 550 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 549 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 548 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 547 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 546 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 545 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 544 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 543 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 542 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 541 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 540 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 539 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 538 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 537 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 536 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 535 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 534 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 533 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 532 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 531 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 530 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 529 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 528 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 527 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 526 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 525 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 524 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 523 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 522 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 521 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 520 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 519 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 518 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 517 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 516 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 515 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 514 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 513 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 512 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 511 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 510 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 509 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 508 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 507 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 506 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 505 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 504 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 503 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 502 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 501 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 500 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 499 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 498 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 497 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 496 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 495 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 494 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 493 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 492 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 491 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 490 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 489 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 488 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 487 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 486 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 485 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 484 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 483 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 482 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 481 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 480 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 479 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 478 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 477 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 476 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 475 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 474 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 473 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 472 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 471 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 470 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 469 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 468 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 467 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 466 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 465 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 464 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 463 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 462 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 461 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 460 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 459 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 458 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 457 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 456 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 455 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 454 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 453 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 452 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 451 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 450 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 449 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 448 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 447 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 446 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 445 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 444 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 443 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 442 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 441 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 440 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 439 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 438 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 437 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 436 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 435 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 434 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 433 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 432 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! 0 431 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 430 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 429 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 428 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 427 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 426 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 425 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 424 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 423 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 422 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 421 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 420 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 419 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 418 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 417 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 416 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 415 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 414 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 413 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 412 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 411 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 410 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 409 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 408 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 407 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 406 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 405 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 404 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 403 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 402 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 401 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 400 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 399 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 398 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 397 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 396 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 395 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 394 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 393 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 392 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 391 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 390 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 389 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 388 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 387 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 386 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 385 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 384 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 383 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 382 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 381 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 380 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 379 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 378 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 377 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 376 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 375 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 374 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 373 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 372 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 371 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 370 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 369 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 368 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 367 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 366 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 365 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 364 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 363 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 362 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 361 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 360 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 359 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 358 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 357 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 356 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 355 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 354 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 353 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 352 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 351 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 350 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 349 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 348 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 347 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 346 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 345 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 344 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 343 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 342 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 341 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 340 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 339 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 338 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 337 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 336 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 335 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 334 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 333 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 332 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 331 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 330 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 329 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 328 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 327 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 326 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 325 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 324 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 323 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 322 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 321 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 320 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 319 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 318 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 317 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 316 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 315 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 314 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 313 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 312 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 311 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 310 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 309 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 308 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 307 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 306 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 305 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 304 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 303 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 302 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 301 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 300 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 299 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 298 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 297 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 296 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 295 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 294 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 293 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 292 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 291 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 290 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 289 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 288 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 287 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 286 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 285 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 284 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 283 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 282 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 281 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 280 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 279 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 278 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 277 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 276 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 275 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 274 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 273 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 272 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 271 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 270 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 269 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 268 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 267 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 266 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 265 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 264 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 263 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 262 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 261 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 260 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 259 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 258 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 257 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 256 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 255 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 254 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 253 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 252 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 251 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 250 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 249 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 248 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 247 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 246 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 245 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 244 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 243 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 242 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 241 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 240 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 239 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 238 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 237 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 236 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 235 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 234 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 233 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 232 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 231 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 230 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 229 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 228 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 227 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 226 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 225 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 224 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 223 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 222 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 221 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 220 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 219 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 218 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 217 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 216 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 215 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 214 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 213 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 212 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 211 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 210 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 209 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 208 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 207 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 206 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 205 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 204 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 203 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 202 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 201 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 200 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 199 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 198 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 197 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 196 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 195 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 194 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 193 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 192 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 191 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 190 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 189 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 188 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 187 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 186 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 185 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 184 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 183 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 182 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 181 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 180 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 179 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 178 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 177 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 176 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 175 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 174 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 173 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 172 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 171 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 170 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 169 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 168 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 167 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 166 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 165 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 164 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 163 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 162 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 161 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 160 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 159 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 158 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 157 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 156 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 155 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 154 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 153 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 152 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 151 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 150 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 149 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 148 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 147 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 146 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 145 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 144 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 143 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 142 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 141 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 140 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 139 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 138 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 137 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 136 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 135 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 134 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 133 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 132 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 131 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 130 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 129 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 128 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 127 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 126 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 125 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 124 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 123 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 122 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 121 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 120 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 119 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 118 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 117 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 116 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 115 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 114 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 113 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 112 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 111 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 110 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 109 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 108 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 107 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 106 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 105 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 104 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 103 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 102 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 101 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 100 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 99 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 98 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 97 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 96 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 95 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 94 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 93 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 92 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 91 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 90 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 89 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 88 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 87 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 86 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 85 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 84 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 83 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 82 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 81 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 80 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 79 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 78 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 77 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 76 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 75 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 74 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 73 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 72 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 71 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 70 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 69 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 68 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 67 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 66 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 65 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 64 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 63 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 62 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 61 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 60 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 59 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 58 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 57 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 56 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 55 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 54 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 53 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 52 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 51 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 50 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 49 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 48 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 47 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 46 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 45 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 44 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 43 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 42 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 41 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 40 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 39 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 38 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 37 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 36 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 35 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 34 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 33 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 32 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 31 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 30 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 29 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 28 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 27 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 26 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 25 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 24 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 23 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 22 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 21 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 20 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 19 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 18 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 17 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 16 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 15 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 14 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 13 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 12 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 11 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 10 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 9 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 8 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 7 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 6 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 5 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 4 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 3 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 2 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 0 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1023 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1022 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1021 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1020 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1019 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1018 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1017 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1016 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1015 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1014 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1013 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1012 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1011 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1010 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1009 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1008 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1007 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1006 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1005 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1004 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1003 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1002 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1001 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1000 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 999 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 998 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 997 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 996 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 995 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 994 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 993 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 992 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 991 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 990 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 989 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 988 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 987 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 986 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 985 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 984 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 983 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 982 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 981 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 980 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 979 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 978 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 977 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 976 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 975 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 974 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 973 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 972 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 971 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 970 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 969 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 968 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 967 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 966 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 965 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 964 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 963 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 962 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 961 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 960 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 959 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 958 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 957 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 956 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 955 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 954 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 953 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 952 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 951 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 950 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 949 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 948 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 947 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 946 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 945 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 944 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 943 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 942 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 941 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 940 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 939 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 938 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 937 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 936 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 935 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 934 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 933 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 932 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 931 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 930 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 929 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 928 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 927 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 926 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 925 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 924 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 923 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 922 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 921 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 920 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 919 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 918 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 917 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 916 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 915 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 914 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 913 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 912 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 911 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 910 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 909 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 908 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 907 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 906 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 905 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 904 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 903 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 902 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 901 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 900 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 899 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 898 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 897 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 896 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 767 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 766 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 765 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 764 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 763 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 762 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 761 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 760 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 759 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 758 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 757 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 756 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 755 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 754 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 753 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 752 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 751 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 750 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 749 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 748 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 747 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 746 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 745 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 744 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 743 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 742 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 741 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 740 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 739 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 738 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 737 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 736 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 735 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 734 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 733 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 732 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 731 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 730 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 729 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 728 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 727 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 726 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 725 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 724 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 723 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 722 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 721 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 720 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 719 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 718 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 717 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 716 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 715 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 714 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 713 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 712 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 711 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 710 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 709 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 708 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 707 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 706 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 705 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 704 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 703 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 702 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 701 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 700 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 699 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 698 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 697 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 696 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 695 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 694 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 693 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 692 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 691 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 690 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 689 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 688 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 687 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 686 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 685 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 684 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 683 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 682 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 681 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 680 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 679 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 678 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 677 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 676 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 675 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 674 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 673 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 672 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 671 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 670 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 669 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 668 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 667 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 666 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 665 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 664 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 663 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 662 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 661 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 660 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 659 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 658 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 657 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 656 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 655 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 654 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 653 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 652 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 651 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 650 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 649 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 648 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 647 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 646 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 645 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 644 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 643 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 642 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 641 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 640 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 511 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 510 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 509 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 508 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 507 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 506 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 505 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 504 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 503 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 502 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 501 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 500 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 499 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 498 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 497 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 496 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 495 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 494 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 493 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 492 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 491 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 490 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 489 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 488 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 487 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 486 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 485 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 484 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 483 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 482 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 481 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 480 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 479 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 478 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 477 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 476 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 475 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 474 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 473 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 472 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 471 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 470 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 469 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 468 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 467 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 466 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 465 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 464 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 463 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 462 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 461 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 460 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 459 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 458 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 457 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 456 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 455 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 454 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 453 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 452 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 451 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 450 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 449 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 448 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 447 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 446 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 445 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 444 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 443 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 442 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 441 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 440 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 439 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 438 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 437 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 436 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 435 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 434 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 433 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 432 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 431 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 430 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 429 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 428 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 427 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 426 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 425 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 424 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 423 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 422 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 421 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 420 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 419 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 418 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 417 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 416 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 415 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 414 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 413 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 412 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 411 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 410 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 409 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 408 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 407 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 406 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 405 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 404 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 403 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 402 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 401 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 400 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 399 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 398 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 397 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 396 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 395 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 394 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 393 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 392 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 391 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 390 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 389 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 388 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 387 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 386 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 385 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 384 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 255 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 254 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 253 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 252 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 251 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 250 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 249 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 248 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 247 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 246 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 245 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 244 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 243 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 242 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 241 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 240 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 239 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 238 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 237 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 236 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 235 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 234 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 233 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 232 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 231 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 230 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 229 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 228 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 227 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 226 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 225 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 224 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 223 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 222 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 221 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 220 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 219 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 218 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 217 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 216 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 215 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 214 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 213 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 212 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 211 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 210 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 209 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 208 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 207 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 206 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 205 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 204 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 203 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 202 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 201 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 200 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 199 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 198 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 197 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 196 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 195 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 194 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 193 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 192 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 191 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 190 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 189 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 188 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 187 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 186 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 185 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 184 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 183 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 182 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 181 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 180 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 179 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 178 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 177 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 176 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 175 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 174 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 173 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 172 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 171 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 170 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 169 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 168 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 167 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 166 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 165 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 164 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 163 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 162 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 161 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 160 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 159 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 158 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 157 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 156 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 155 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 154 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 153 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 152 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 151 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 150 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 149 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 148 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 147 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 146 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 145 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 144 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 143 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 142 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 141 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 140 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 139 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 138 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 137 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 136 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 135 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 134 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 133 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 132 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 131 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 130 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 129 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 128 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 75 0001000000000011100101100000000000000000000000000000000000000001 ---> passed! All tests passed successfully :) diff --git a/test/noc_tb.vhdl b/test/noc_tb.vhdl index 2c68621..4e2aeaa 100644 --- a/test/noc_tb.vhdl +++ b/test/noc_tb.vhdl @@ -168,7 +168,13 @@ begin c_send_ack_nxt(i) <= c_send_ack(i); end if; end loop; - elsif pe_send_reqs'event or pe_send_reqs_prev'event then + else + for i in 0 to num_paths_ext*4-1 loop + c_send_ack_nxt(i) <= c_send_ack(i); + end loop; + end if; + + if pe_send_reqs'event or pe_send_reqs_prev'event then for i in 0 to 4**level-1 loop if (pe_send_reqs(i) = '0' and pe_send_reqs_prev(i) = '1') or (pe_send_reqs(i) = '1' and pe_send_reqs_prev(i) = '0') then @@ -184,9 +190,6 @@ begin for i in 0 to 4**level-1 loop pe_send_ack_nxt(i) <= pe_send_ack(i); end loop; - for i in 0 to num_paths_ext*4-1 loop - c_send_ack_nxt(i) <= c_send_ack(i); - end loop; end if; end process; diff --git a/test/scripts/validate_results/simulation.log b/test/scripts/validate_results/simulation.log index 87956b3..db88c3f 100644 --- a/test/scripts/validate_results/simulation.log +++ b/test/scripts/validate_results/simulation.log @@ -3114,6 +3114,7 @@ 0 253 0001000000000010110110111011111111000000000000000000000000000001 0 254 0001000000000010110110111011111111000000000000000000000000000001 0 255 0001000000000010110110111011111111000000000000000000000000000001 +0 264 0001000000000011101011110000000000000000000000000000000000000001 0 328 0001000000000010000000001111111111000000000000000000000000000001 0 329 0001000000000010000000001111111111000000000000000000000000000001 0 360 0001000000000010000000001111111111000000000000000000000000000001 @@ -3184,6 +3185,7 @@ 0 509 0001000000000010110110111011111111000000000000000000000000000001 0 510 0001000000000010110110111011111111000000000000000000000000000001 0 511 0001000000000010110110111011111111000000000000000000000000000001 +0 540 0001000000000001101110010000000000000000000000000000000000000001 0 640 0001000000000010110110111011111111000000000000000000000000000001 0 641 0001000000000010110110111011111111000000000000000000000000000001 0 642 0001000000000010110110111011111111000000000000000000000000000001 @@ -3516,7 +3518,6 @@ 0 261 0001000000000010000000001111111111000000000000000000000000000001 0 262 0001000000000010000000001111111111000000000000000000000000000001 0 263 0001000000000010000000001111111111000000000000000000000000000001 -0 264 0001000000000010000000001111111111000000000000000000000000000001 0 265 0001000000000010000000001111111111000000000000000000000000000001 0 266 0001000000000010000000001111111111000000000000000000000000000001 0 267 0001000000000010000000001111111111000000000000000000000000000001 @@ -3604,7 +3605,8 @@ 0 173 0001000000000010110110111011111111000000000000000000000000000001 0 428 0001000000000010110110111011111111000000000000000000000000000001 0 429 0001000000000010110110111011111111000000000000000000000000000001 -0 174 0001000000000000001000001111111111000000000000000000000000000001 -0 175 0001000000000000001000001111111111000000000000000000000000000001 -0 430 0001000000000000001000001111111111000000000000000000000000000001 -0 431 0001000000000000001000001111111111000000000000000000000000000001 +0 174 0001000000000010110110111011111111000000000000000000000000000001 +0 175 0001000000000010110110111011111111000000000000000000000000000001 +0 264 0001000000000010000000001111111111000000000000000000000000000001 +0 430 0001000000000010110110111011111111000000000000000000000000000001 +0 431 0001000000000010110110111011111111000000000000000000000000000001 diff --git a/test/scripts/validate_results/test.log b/test/scripts/validate_results/test.log index 964e9a4..9fe0099 100644 --- a/test/scripts/validate_results/test.log +++ b/test/scripts/validate_results/test.log @@ -1,3611 +1,3613 @@ -0 75 0001000000000011100101100000000000000000000000000000000000000001 ---> passed! -0 787 0001000000000001110010100000000000000000000000000000000000000001 ---> passed! -0 0 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 2 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 3 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 4 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 5 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 6 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 7 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 8 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 9 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 10 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 11 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 12 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 13 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 14 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 15 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 16 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 17 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 18 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 19 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 20 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 21 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 22 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 23 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 24 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 25 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 26 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 27 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 28 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 29 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 30 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 31 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 32 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 33 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 34 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 35 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 36 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 37 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 38 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 39 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 40 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 41 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 42 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 43 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 44 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 45 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 46 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 47 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 48 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 49 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 50 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 51 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 52 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 53 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 54 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 55 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 56 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 57 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 58 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 59 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 60 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 61 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 62 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 63 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 64 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 65 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 66 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 67 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 68 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 69 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 70 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 71 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 72 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 73 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 74 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 75 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 76 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 77 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 78 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 79 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 80 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 81 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 82 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 83 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 84 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 85 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 86 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 87 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 88 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 89 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 90 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 91 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 92 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 93 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 94 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 95 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 96 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 97 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 98 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 99 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 100 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 101 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 102 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 103 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 104 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 105 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 106 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 107 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 108 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 109 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 110 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 111 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 112 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 113 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 114 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 115 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 116 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 117 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 118 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 119 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 120 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 121 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 122 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 123 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 124 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 125 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 126 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 127 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 128 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 129 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 130 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 131 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 132 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 133 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 134 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 135 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 136 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 137 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 138 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 139 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 140 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 141 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 142 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 143 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 144 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 145 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 146 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 147 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 148 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 149 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 150 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 151 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 152 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 153 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 154 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 155 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 156 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 157 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 158 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 159 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 160 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 161 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 162 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 163 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 164 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 165 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 166 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 167 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 168 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 169 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 170 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 171 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 172 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 173 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 174 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 175 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 176 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 177 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 178 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 179 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 180 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 181 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 182 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 183 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 184 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 185 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 186 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 187 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 188 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 189 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 190 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 191 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 192 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 193 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 194 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 195 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 196 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 197 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 198 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 199 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 200 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 201 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 202 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 203 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 204 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 205 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 206 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 207 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 208 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 209 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 210 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 211 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 212 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 213 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 214 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 215 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 216 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 217 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 218 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 219 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 220 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 221 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 222 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 223 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 224 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 225 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 226 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 227 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 228 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 229 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 230 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 231 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 232 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 233 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 234 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 235 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 236 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 237 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 238 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 239 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 240 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 241 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 242 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 243 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 244 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 245 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 246 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 247 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 248 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 249 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 250 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 251 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 252 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 253 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 254 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 255 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 256 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 257 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 258 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 259 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 260 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 261 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 262 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 263 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 264 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 265 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 268 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 269 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 270 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 271 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 272 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 273 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 274 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 275 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 276 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 277 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 278 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 279 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 280 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 281 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 282 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 283 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 284 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 285 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 286 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 287 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 288 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 289 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 290 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 291 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 292 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 293 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 294 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 295 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 296 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 297 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 300 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 301 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 302 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 303 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 304 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 305 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 306 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 307 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 308 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 309 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 310 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 311 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 312 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 313 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 314 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 315 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 316 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 317 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 318 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 319 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 320 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 321 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 322 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 323 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 324 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 325 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 326 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 327 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 328 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 329 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 332 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 333 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 334 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 335 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 336 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 337 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 338 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 339 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 340 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 341 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 342 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 343 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 344 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 345 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 346 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 347 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 348 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 349 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 350 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 351 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 352 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 353 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 354 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 355 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 356 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 357 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 358 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 359 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 360 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 361 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 364 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 365 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 366 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 367 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 368 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 369 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 370 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 371 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 372 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 373 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 374 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 375 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 376 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 377 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 378 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 379 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 380 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 381 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 382 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 383 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 384 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 385 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 386 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 387 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 388 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 389 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 390 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 391 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 392 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 393 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 394 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 395 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 396 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 397 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 398 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 399 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 400 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 401 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 402 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 403 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 404 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 405 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 406 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 407 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 408 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 409 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 410 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 411 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 412 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 413 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 414 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 415 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 416 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 417 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 418 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 419 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 420 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 421 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 422 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 423 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 424 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 425 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 426 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 427 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 428 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 429 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 430 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 431 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 432 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 433 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 434 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 435 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 436 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 437 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 438 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 439 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 440 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 441 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 442 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 443 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 444 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 445 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 446 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 447 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 448 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 449 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 450 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 451 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 452 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 453 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 454 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 455 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 456 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 457 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 458 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 459 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 460 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 461 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 462 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 463 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 464 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 465 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 466 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 467 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 468 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 469 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 470 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 471 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 472 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 473 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 474 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 475 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 476 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 477 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 478 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 479 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 480 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 481 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 482 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 483 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 484 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 485 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 486 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 487 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 488 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 489 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 490 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 491 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 492 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 493 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 494 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 495 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 496 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 497 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 498 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 499 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 500 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 501 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 502 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 503 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 504 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 505 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 506 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 507 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 508 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 509 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 510 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 511 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 512 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 513 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 514 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 515 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 516 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 517 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 518 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 519 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 520 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 521 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 522 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 523 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 524 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 525 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 526 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 527 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 528 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 529 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 530 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 531 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 532 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 533 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 534 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 535 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 536 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 537 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 538 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 539 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 540 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 541 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 542 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 543 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 544 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 545 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 546 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 547 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 548 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 549 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 550 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 551 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 552 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 553 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 554 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 555 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 556 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 557 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 558 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 559 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 560 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 561 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 562 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 563 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 564 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 565 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 566 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 567 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 568 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 569 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 570 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 571 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 572 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 573 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 574 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 575 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 576 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 577 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 578 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 579 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 580 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 581 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 582 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 583 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 584 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 585 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 586 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 587 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 588 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 589 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 590 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 591 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 592 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 593 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 594 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 595 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 596 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 597 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 598 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 599 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 600 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 601 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 602 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 603 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 604 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 605 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 606 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 607 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 608 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 609 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 610 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 611 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 612 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 613 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 614 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 615 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 616 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 617 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 618 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 619 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 620 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 621 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 622 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 623 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 624 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 625 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 626 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 627 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 628 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 629 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 630 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 631 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 632 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 633 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 634 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 635 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 636 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 637 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 638 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 639 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 640 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 641 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 642 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 643 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 644 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 645 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 646 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 647 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 648 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 649 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 650 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 651 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 652 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 653 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 654 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 655 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 656 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 657 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 658 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 659 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 660 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 661 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 662 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 663 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 664 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 665 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 666 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 667 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 668 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 669 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 670 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 671 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 672 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 673 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 674 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 675 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 676 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 677 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 678 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 679 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 680 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 681 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 682 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 683 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 684 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 685 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 686 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 687 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 688 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 689 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 690 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 691 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 692 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 693 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 694 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 695 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 696 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 697 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 698 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 699 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 700 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 701 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 702 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 703 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 704 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 705 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 706 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 707 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 708 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 709 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 710 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 711 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 712 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 713 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 714 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 715 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 716 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 717 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 718 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 719 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 720 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 721 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 722 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 723 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 724 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 725 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 726 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 727 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 728 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 729 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 730 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 731 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 732 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 733 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 734 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 735 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 736 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 737 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 738 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 739 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 740 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 741 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 742 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 743 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 744 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 745 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 746 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 747 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 748 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 749 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 750 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 751 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 752 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 753 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 754 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 755 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 756 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 757 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 758 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 759 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 760 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 761 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 762 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 763 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 764 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 765 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 766 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 767 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 768 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 769 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 770 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 771 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 772 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 773 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 774 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 775 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 776 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 777 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 780 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 781 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 782 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 783 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 784 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 785 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 786 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 787 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 788 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 789 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 790 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 791 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 792 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 793 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 794 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 795 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 796 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 797 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 798 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 799 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 800 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 801 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 802 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 803 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 804 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 805 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 806 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 807 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 808 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 809 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 812 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 813 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 814 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 815 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 816 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 817 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 818 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 819 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 820 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 821 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 822 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 823 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 824 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 825 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 826 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 827 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 828 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 829 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 830 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 831 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 832 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 833 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 834 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 835 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 836 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 837 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 838 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 839 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 840 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 841 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 844 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 845 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 846 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 847 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 848 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 849 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 850 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 851 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 852 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 853 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 854 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 855 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 856 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 857 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 858 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 859 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 860 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 861 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 862 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 863 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 864 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 865 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 866 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 867 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 868 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 869 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 870 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 871 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 872 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 873 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! -0 876 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 877 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 878 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 879 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 880 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 881 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 882 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 883 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 884 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 885 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 886 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 887 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 888 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 889 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 890 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 891 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 892 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 893 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 894 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 895 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 896 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 897 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 898 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 899 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 900 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 901 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 902 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 903 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 904 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 905 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 906 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 907 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 908 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 909 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 910 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 911 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 912 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 913 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 914 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 915 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 916 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 917 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 918 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 919 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 920 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 921 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 922 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 923 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 924 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 925 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 926 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 927 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 928 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 929 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 930 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 931 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 932 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 933 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 934 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 935 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 936 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 937 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 938 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 939 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 940 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 941 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 942 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 943 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 944 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 945 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 946 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 947 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 948 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 949 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 950 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 951 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 952 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 953 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 954 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 955 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 956 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 957 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 958 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 959 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 960 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 961 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 962 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 963 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 964 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 965 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 966 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 967 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 968 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 969 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 970 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 971 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 972 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 973 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 974 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 975 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 976 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 977 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 978 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 979 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 980 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 981 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 982 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 983 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 984 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 985 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 986 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 987 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 988 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 989 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 990 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 991 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 992 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 993 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 994 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 995 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 996 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 997 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 998 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 999 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1000 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1001 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1002 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1003 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1004 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1005 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1006 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1007 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1008 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1009 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1010 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1011 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1012 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1013 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1014 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1015 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1016 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1017 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1018 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1019 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1020 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1021 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1022 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 1023 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 266 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 267 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 298 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 299 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 330 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 331 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 362 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 363 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 778 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 779 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 810 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 811 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 842 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 843 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 874 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 875 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 0 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 2 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 3 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 4 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 5 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 6 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 7 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 8 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 9 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 10 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 11 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 12 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 13 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 14 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 15 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 16 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 17 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 18 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 19 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 20 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 21 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 22 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 23 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 24 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 25 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 26 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 27 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 28 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 29 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 30 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 31 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 32 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 33 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 34 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 35 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 36 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 37 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 38 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 39 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 40 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 41 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 42 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 43 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 46 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! -0 47 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! -0 48 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 49 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 50 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 51 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 52 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 53 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 54 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 55 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 56 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 57 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 58 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 59 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 60 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 61 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 62 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 63 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 64 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 65 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 66 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 67 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 68 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 69 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 70 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 71 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 72 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 73 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 74 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 75 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 76 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 77 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 78 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 79 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 80 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 81 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 82 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 83 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 84 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 85 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 86 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 87 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 88 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 89 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 90 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 91 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 92 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 93 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 94 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 95 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 96 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 97 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 98 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 99 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 100 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 101 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 102 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 103 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 104 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 105 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 106 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 107 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 108 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 109 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 110 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 111 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 112 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 113 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 114 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 115 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 116 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 117 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 118 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 119 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 120 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 121 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 122 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 123 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 124 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 125 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 126 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 127 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 128 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 129 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 130 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 131 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 132 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 133 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 134 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 135 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 136 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 137 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 138 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 139 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 140 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 141 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 142 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 143 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 144 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 145 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 146 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 147 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 148 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 149 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 150 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 151 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 152 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 153 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 154 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 155 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 156 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 157 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 158 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 159 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 160 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 161 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 162 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 163 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 164 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 165 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 166 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 167 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 168 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 169 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 170 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 171 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 174 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! -0 175 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! -0 176 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 177 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 178 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 179 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 180 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 181 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 182 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 183 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 184 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 185 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 186 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 187 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 188 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 189 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 190 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 191 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 192 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 193 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 194 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 195 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 196 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 197 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 198 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 199 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 200 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 201 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 202 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 203 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 204 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 205 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 206 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 207 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 208 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 209 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 210 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 211 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 212 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 213 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 214 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 215 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 216 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 217 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 218 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 219 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 220 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 221 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 222 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 223 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 224 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 225 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 226 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 227 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 228 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 229 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 230 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 231 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 232 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 233 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 234 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 235 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 236 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 237 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 238 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 239 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 240 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 241 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 242 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 243 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 244 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 245 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 246 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 247 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 248 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 249 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 250 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 251 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 252 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 253 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 254 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 255 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 264 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 265 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 272 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 273 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 274 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 275 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 276 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 277 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 278 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 279 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 280 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 281 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 282 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 283 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 284 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 285 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 286 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 287 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 296 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 297 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 302 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! -0 303 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! -0 304 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 305 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 306 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 307 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 308 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 309 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 310 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 311 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 312 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 313 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 314 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 315 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 316 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 317 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 318 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 319 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 320 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 321 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 322 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 323 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 324 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 325 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 326 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 327 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 328 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 329 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 332 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 333 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 334 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 335 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 336 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 337 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 338 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 339 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 340 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 341 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 342 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 343 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 344 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 345 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 346 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 347 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 348 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 349 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 350 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 351 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 352 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 353 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 354 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 355 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 356 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 357 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 358 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 359 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 360 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 361 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 364 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 365 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 366 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 367 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 368 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 369 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 370 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 371 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 372 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 373 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 374 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 375 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 376 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 377 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 378 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 379 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 380 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 381 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 382 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 383 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 384 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 385 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 386 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 387 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 388 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 389 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 390 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 391 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 392 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 393 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 394 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 395 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 396 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 397 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 398 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 399 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 400 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 401 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 402 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 403 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 404 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 405 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 406 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 407 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 408 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 409 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 410 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 411 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 412 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 413 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 414 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 415 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 416 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 417 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 418 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 419 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 420 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 421 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 422 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 423 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 424 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 425 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 426 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 427 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 430 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! 0 431 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! -0 432 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 433 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 434 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 435 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 436 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 437 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 438 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 439 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 440 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 441 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 442 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 443 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 444 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 445 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 446 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 447 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 448 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 449 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 450 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 451 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 452 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 453 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 454 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 455 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 456 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 457 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 458 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 459 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 460 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 461 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 462 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 463 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 464 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 465 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 466 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 467 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 468 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 469 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 470 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 471 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 472 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 473 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 474 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 475 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 476 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 477 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 478 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 479 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 480 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 481 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 482 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 483 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 484 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 485 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 486 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 487 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 488 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 489 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 490 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 491 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 492 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 493 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 494 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 495 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 496 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 497 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 498 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 499 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 500 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 501 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 502 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 503 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 504 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 505 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 506 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 507 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 508 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 509 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 510 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 511 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 512 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 513 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 514 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 515 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 516 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 517 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 518 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 519 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 520 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 521 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 522 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 523 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 524 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 525 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 526 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 527 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 528 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 529 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 530 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 531 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 532 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 533 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 534 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 535 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 536 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 537 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 538 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 539 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 540 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 541 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 542 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 543 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 544 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 545 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 546 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 547 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 548 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 549 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 550 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 551 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 552 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 553 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 554 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 555 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 556 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 557 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 558 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 559 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 560 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 561 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 562 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 563 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 564 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 565 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 566 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 567 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 568 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 569 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 570 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 571 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 572 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 573 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 574 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 575 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 576 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 577 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 578 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 579 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 580 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 581 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 582 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 583 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 584 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 585 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 586 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 587 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 588 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 589 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 590 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 591 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 592 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 593 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 594 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 595 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 596 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 597 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 598 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 599 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 600 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 601 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 602 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 603 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 604 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 605 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 606 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 607 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 608 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 609 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 610 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 611 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 612 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 613 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 614 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 615 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 616 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 617 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 618 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 619 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 620 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 621 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 622 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 623 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 624 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 625 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 626 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 627 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 628 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 629 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 630 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 631 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 632 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 633 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 634 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 635 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 636 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 637 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 638 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 639 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 640 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 641 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 642 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 643 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 644 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 645 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 646 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 647 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 648 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 649 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 650 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 651 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 652 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 653 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 654 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 655 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 656 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 657 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 658 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 659 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 660 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 661 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 662 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 663 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 664 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 665 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 666 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 667 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 668 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 669 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 670 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 671 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 672 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 673 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 674 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 675 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 676 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 677 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 678 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 679 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 680 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 681 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 682 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 683 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 684 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 685 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 686 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 687 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 688 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 689 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 690 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 691 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 692 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 693 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 694 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 695 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 696 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 697 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 698 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 699 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 700 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 701 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 702 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 703 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 704 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 705 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 706 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 707 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 708 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 709 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 710 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 711 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 712 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 713 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 714 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 715 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 716 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 717 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 718 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 719 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 720 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 721 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 722 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 723 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 724 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 725 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 726 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 727 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 728 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 729 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 730 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 731 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 732 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 733 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 734 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 735 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 736 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 737 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 738 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 739 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 740 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 741 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 742 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 743 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 744 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 745 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 746 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 747 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 748 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 749 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 750 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 751 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 752 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 753 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 754 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 755 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 756 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 757 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 758 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 759 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 760 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 761 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 762 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 763 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 764 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 765 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 766 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 767 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 768 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 769 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 770 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 771 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 772 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 773 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 774 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 775 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 776 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 777 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 780 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 781 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 782 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 783 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 784 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 785 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 786 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 787 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 788 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 789 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 790 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 791 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 792 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 793 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 794 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 795 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 796 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 797 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 798 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 799 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 800 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 801 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 802 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 803 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 804 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 805 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 806 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 807 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 808 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 809 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 812 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 813 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 814 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 815 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 816 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 817 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 818 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 819 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 820 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 821 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 822 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 823 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 824 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 825 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 826 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 827 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 828 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 829 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 830 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 831 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 832 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 833 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 834 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 835 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 836 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 837 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 838 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 839 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 840 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 841 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 844 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 845 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 846 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 847 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 848 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 849 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 850 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 851 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 852 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 853 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 854 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 855 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 856 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 857 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 858 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 859 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 860 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 861 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 862 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 863 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 864 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 865 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 866 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 867 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 868 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 869 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 870 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 871 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 872 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 873 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 876 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 877 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 878 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 879 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 880 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 881 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 882 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 883 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 884 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 885 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 886 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 887 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 888 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 889 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 890 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 891 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 892 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 893 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 894 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 895 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 896 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 897 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 898 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 899 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 900 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 901 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 902 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 903 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 904 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 905 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 906 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 907 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 908 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 909 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 910 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 911 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 912 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 913 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 914 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 915 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 916 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 917 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 918 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 919 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 920 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 921 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 922 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 923 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 924 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 925 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 926 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 927 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 928 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 929 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 930 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 931 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 932 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 933 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 934 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 935 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 936 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 937 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 938 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 939 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 940 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 941 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 942 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 943 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 944 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 945 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 946 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 947 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 948 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 949 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 950 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 951 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 952 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 953 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 954 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 955 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 956 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 957 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 958 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 959 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 960 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 961 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 962 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 963 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 964 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 965 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 966 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 967 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 968 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 969 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 970 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 971 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 972 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 973 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 974 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 975 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 976 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 977 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 978 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 979 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 980 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 981 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 982 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 983 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 984 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 985 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 986 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 987 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 988 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 989 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 990 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 991 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 992 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 993 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 994 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 995 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 996 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 997 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 998 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 999 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1000 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1001 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1002 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1003 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1004 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1005 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1006 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1007 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1008 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1009 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1010 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1011 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1012 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1013 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1014 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1015 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1016 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1017 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1018 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1019 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1020 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1021 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 1022 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 430 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 303 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 302 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 175 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 174 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 47 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 46 0001000000000010000011000100010001000000000000000000000000000001 ---> passed! +0 873 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 872 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 841 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 840 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 809 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 808 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 777 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 776 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 361 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 360 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 329 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 328 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 297 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 296 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 265 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! +0 264 0001000000000011001011101010001001000000000000000000000000000001 ---> passed! 0 1023 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 44 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 45 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 172 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 173 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 256 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 257 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 258 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 259 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 260 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 261 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 262 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 263 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 266 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 267 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 268 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 269 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 270 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 271 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 288 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 289 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 290 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 291 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 292 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 293 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 294 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 295 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 298 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 299 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 300 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 301 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 330 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 331 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 362 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 363 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 428 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 429 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 778 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 779 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 810 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 811 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 842 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 843 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 874 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 875 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 0 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 2 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 3 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 4 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 5 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 6 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 7 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 8 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 9 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 10 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 11 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 12 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 13 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 14 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 15 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 16 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 17 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 18 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 19 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 20 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 21 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 22 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 23 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 24 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 25 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 26 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 27 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 28 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 29 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 30 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 31 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 32 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 33 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 34 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 35 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 36 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 37 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 38 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 39 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 40 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 41 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 42 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 43 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 46 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 47 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 48 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 49 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 50 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 51 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 52 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 53 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 54 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 55 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 56 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 57 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 58 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 59 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 60 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 61 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 62 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 63 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 64 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 65 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 66 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 67 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 68 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 69 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 70 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 71 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 72 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 73 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 74 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 75 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 76 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 77 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 78 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 79 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 80 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 81 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 82 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 83 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 84 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 85 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 86 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 87 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 88 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 89 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 90 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 91 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 92 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 93 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 94 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 95 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 96 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 97 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 98 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 99 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 100 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 101 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 102 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 103 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 104 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 105 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 106 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 107 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 108 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 109 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 110 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 111 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 112 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 113 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 114 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 115 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 116 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 117 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 118 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 119 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 120 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 121 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 122 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 123 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 124 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 125 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 126 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 127 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 128 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 129 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 130 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 131 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 132 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 133 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 134 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 135 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 136 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 137 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 138 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 139 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 140 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 141 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 142 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 143 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 144 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 145 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 146 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 147 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 148 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 149 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 150 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 151 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 152 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 153 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 154 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 155 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 156 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 157 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 158 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 159 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 160 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 161 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 162 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 163 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 164 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 165 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 166 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 167 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 168 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 169 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 170 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 171 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 174 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 175 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 176 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 177 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 178 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 179 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 180 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 181 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 182 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 183 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 184 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 185 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 186 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 187 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 188 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 189 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 190 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 191 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 192 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 193 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 194 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 195 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 196 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 197 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 198 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 199 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 200 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 201 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 202 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 203 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 204 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 205 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 206 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 207 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 208 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 209 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 210 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 211 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 212 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 213 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 214 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 215 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 216 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 217 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 218 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 219 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 220 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 221 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 222 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 223 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 224 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 225 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 226 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 227 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 228 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 229 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 230 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 231 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 232 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 233 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 234 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 235 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 236 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 237 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 238 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 239 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 240 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 241 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 242 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 243 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 244 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 245 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 246 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 247 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 248 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 249 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 250 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 251 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 252 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 253 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 254 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 255 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 264 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 265 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 272 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 273 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 274 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 275 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 276 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 277 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 278 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 279 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 280 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 281 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 282 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 283 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 284 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 285 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 286 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 287 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 296 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 297 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 302 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 303 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 304 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 305 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 306 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 307 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 308 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 309 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 310 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 311 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 312 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 313 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 314 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 315 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 316 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 317 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 318 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 319 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 320 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 321 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 322 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 323 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 324 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 325 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 326 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 327 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 328 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 329 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 332 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 333 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 334 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 335 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 336 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 337 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 338 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 339 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 340 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 341 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 342 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 343 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 344 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 345 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 346 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 347 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 348 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 349 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 350 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 351 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 352 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 353 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 354 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 355 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 356 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 357 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 358 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 359 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 360 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 361 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 364 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 365 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 366 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 367 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 368 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 369 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 370 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 371 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 372 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 373 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 374 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 375 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 376 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 377 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 378 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 379 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 380 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 381 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 382 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 383 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 384 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 385 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 386 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 387 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 388 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 389 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 390 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 391 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 392 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 393 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 394 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 395 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 396 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 397 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 398 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 399 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 400 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 401 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 402 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 403 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 404 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 405 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 406 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 407 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 408 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 409 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 410 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 411 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 412 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 413 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 414 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 415 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 416 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 417 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 418 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 419 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 420 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 421 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 422 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 423 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 424 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 425 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 426 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 427 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 430 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 431 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 432 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 433 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 434 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 435 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 436 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 437 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 438 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 439 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 440 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 441 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 442 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 443 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 444 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 445 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 446 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 447 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 448 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 449 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 450 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 451 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 452 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 453 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 454 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 455 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 456 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 457 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 458 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 459 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 460 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 461 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 462 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 463 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 464 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 465 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 466 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 467 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 468 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 469 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 470 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 471 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 472 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 473 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 474 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 475 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 476 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 477 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 478 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 479 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 480 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 481 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 482 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 483 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 484 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 485 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 486 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 487 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 488 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 489 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 490 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 491 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 492 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 493 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 494 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 495 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 496 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 497 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 498 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 499 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 500 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 501 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 502 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 503 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 504 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 505 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 506 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 507 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 508 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 509 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 510 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 511 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 512 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 513 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 514 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 515 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 516 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 517 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 518 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 519 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 520 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 521 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 522 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 523 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 524 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 525 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 526 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 527 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 528 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 529 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 530 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 531 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 532 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 533 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 534 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 535 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 536 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 537 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 538 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 539 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 540 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 541 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 542 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 543 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 544 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 545 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 546 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 547 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 548 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 549 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 550 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 551 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 552 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 553 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 554 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 555 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 556 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 557 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 558 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 559 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 560 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 561 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 562 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 563 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 564 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 565 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 566 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 567 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 568 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 569 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 570 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 571 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 572 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 573 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 574 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 575 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 576 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 577 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 578 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 579 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 580 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 581 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 582 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 583 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 584 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 585 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 586 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 587 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 588 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 589 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 590 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 591 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 592 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 593 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 594 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 595 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 596 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 597 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 598 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 599 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 600 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 601 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 602 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 603 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 604 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 605 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 606 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 607 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 608 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 609 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 610 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 611 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 612 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 613 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 614 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 615 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 616 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 617 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 618 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 619 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 620 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 621 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 622 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 623 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 624 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 625 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 626 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 627 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 628 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 629 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 630 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 631 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 632 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 633 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 634 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 635 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 636 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 637 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 638 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 639 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 640 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 641 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 642 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 643 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 644 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 645 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 646 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 647 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 648 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 649 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 650 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 651 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 652 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 653 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 654 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 655 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 656 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 657 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 658 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 659 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 660 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 661 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 662 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 663 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 664 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 665 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 666 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 667 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 668 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 669 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 670 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 671 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 672 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 673 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 674 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 675 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 676 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 677 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 678 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 679 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 680 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 681 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 682 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 683 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 684 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 685 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 686 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 687 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 688 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 689 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 690 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 691 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 692 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 693 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 694 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 695 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 696 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 697 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 698 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 699 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 700 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 701 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 702 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 703 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 704 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 705 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 706 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 707 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 708 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 709 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 710 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 711 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 712 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 713 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 714 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 715 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 716 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 717 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 718 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 719 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 720 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 721 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 722 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 723 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 724 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 725 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 726 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 727 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 728 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 729 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 730 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 731 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 732 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 733 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 734 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 735 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 736 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 737 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 738 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 739 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 740 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 741 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 742 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 743 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 744 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 745 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 746 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 747 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 748 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 749 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 750 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 751 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 752 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 753 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 754 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 755 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 756 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 757 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 758 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 759 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 760 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 761 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 762 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 763 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 764 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 765 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 766 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 767 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 768 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 769 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 770 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 771 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 772 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 773 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 774 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 775 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 776 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 777 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 780 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 781 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 782 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 783 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 784 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 785 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 786 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 787 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 788 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 789 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 790 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 791 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 792 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 793 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 794 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 795 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 796 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 797 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 798 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 799 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 800 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 801 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 802 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 803 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 804 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 805 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 806 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 807 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 808 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 809 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 812 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 813 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 814 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 815 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 816 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 817 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 818 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 819 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 820 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 821 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 822 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 823 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 824 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 825 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 826 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 827 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 828 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 829 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 830 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 831 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 832 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 833 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 834 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 835 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 836 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 837 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 838 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 839 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 840 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 841 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 844 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 845 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 846 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 847 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 848 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 849 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 850 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 851 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 852 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 853 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 854 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 855 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 856 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 857 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 858 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 859 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 860 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 861 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 862 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 863 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 864 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 865 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 866 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 867 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 868 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 869 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 870 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 871 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 872 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 873 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 876 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 877 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 878 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1022 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1021 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1020 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1019 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1018 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1017 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1016 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1015 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1014 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1013 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1012 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1011 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1010 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1009 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1008 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1007 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1006 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1005 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1004 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1003 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1002 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1001 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1000 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 999 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 998 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 997 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 996 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 995 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 994 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 993 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 992 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 991 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 990 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 989 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 988 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 987 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 986 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 985 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 984 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 983 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 982 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 981 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 980 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 979 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 978 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 977 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 976 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 975 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 974 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 973 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 972 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 971 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 970 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 969 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 968 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 967 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 966 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 965 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 964 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 963 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 962 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 961 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 960 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 959 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 958 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 957 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 956 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 955 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 954 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 953 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 952 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 951 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 950 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 949 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 948 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 947 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 946 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 945 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 944 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 943 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 942 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 941 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 940 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 939 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 938 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 937 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 936 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 935 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 934 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 933 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 932 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 931 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 930 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 929 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 928 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 927 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 926 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 925 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 924 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 923 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 922 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 921 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 920 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 919 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 918 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 917 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 916 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 915 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 914 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 913 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 912 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 911 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 910 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 909 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 908 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 907 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 906 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 905 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 904 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 903 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 902 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 901 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 900 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 899 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 898 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 897 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 896 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 895 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 894 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 893 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 892 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 891 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 890 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 889 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 888 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 887 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 886 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 885 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 884 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 883 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 882 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 881 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 880 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! 0 879 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! -0 880 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 881 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 882 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 883 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 884 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 885 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 886 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 887 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 888 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 889 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 890 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 891 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 892 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 893 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 894 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 895 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 896 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 897 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 898 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 899 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 900 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 901 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 902 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 903 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 904 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 905 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 906 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 907 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 908 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 909 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 910 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 911 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 912 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 913 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 914 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 915 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 916 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 917 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 918 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 919 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 920 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 921 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 922 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 923 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 924 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 925 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 926 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 927 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 928 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 929 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 930 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 931 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 932 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 933 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 934 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 935 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 936 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 937 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 938 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 939 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 940 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 941 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 942 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 943 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 944 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 945 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 946 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 947 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 948 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 949 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 950 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 951 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 952 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 953 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 954 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 955 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 956 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 957 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 958 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 959 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 960 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 961 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 962 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 963 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 964 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 965 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 966 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 967 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 968 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 969 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 970 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 971 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 972 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 973 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 974 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 975 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 976 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 977 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 978 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 979 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 980 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 981 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 982 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 983 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 984 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 985 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 986 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 987 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 988 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 989 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 990 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 991 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 992 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 993 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 994 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 995 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 996 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 997 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 998 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 999 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1000 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1001 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1002 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1003 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1004 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1005 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1006 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1007 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1008 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1009 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1010 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1011 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1012 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1013 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1014 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1015 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1016 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1017 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1018 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1019 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1020 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1021 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 1022 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 878 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 877 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 876 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 875 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 874 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 873 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 872 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 871 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 870 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 869 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 868 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 867 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 866 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 865 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 864 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 863 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 862 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 861 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 860 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 859 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 858 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 857 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 856 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 855 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 854 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 853 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 852 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 851 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 850 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 849 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 848 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 847 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 846 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 845 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 844 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 843 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 842 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 841 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 840 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 839 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 838 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 837 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 836 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 835 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 834 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 833 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 832 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 831 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 830 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 829 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 828 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 827 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 826 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 825 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 824 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 823 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 822 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 821 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 820 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 819 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 818 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 817 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 816 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 815 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 814 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 813 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 812 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 811 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 810 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 809 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 808 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 807 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 806 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 805 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 804 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 803 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 802 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 801 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 800 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 799 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 798 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 797 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 796 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 795 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 794 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 793 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 792 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 791 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 790 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 789 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 788 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 787 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 786 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 785 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 784 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 783 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 782 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 781 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 780 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 779 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 778 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 777 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 776 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 775 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 774 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 773 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 772 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 771 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 770 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 769 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 768 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 767 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 766 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 765 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 764 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 763 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 762 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 761 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 760 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 759 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 758 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 757 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 756 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 755 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 754 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 753 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 752 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 751 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 750 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 749 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 748 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 747 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 746 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 745 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 744 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 743 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 742 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 741 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 740 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 739 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 738 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 737 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 736 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 735 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 734 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 733 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 732 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 731 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 730 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 729 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 728 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 727 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 726 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 725 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 724 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 723 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 722 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 721 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 720 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 719 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 718 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 717 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 716 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 715 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 714 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 713 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 712 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 711 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 710 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 709 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 708 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 707 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 706 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 705 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 704 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 703 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 702 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 701 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 700 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 699 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 698 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 697 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 696 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 695 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 694 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 693 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 692 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 691 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 690 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 689 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 688 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 687 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 686 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 685 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 684 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 683 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 682 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 681 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 680 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 679 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 678 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 677 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 676 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 675 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 674 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 673 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 672 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 671 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 670 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 669 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 668 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 667 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 666 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 665 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 664 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 663 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 662 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 661 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 660 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 659 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 658 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 657 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 656 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 655 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 654 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 653 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 652 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 651 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 650 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 649 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 648 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 647 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 646 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 645 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 644 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 643 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 642 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 641 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 640 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 639 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 638 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 637 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 636 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 635 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 634 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 633 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 632 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 631 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 630 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 629 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 628 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 627 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 626 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 625 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 624 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 623 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 622 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 621 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 620 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 619 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 618 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 617 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 616 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 615 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 614 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 613 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 612 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 611 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 610 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 609 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 608 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 607 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 606 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 605 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 604 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 603 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 602 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 601 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 600 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 599 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 598 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 597 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 596 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 595 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 594 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 593 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 592 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 591 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 590 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 589 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 588 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 587 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 586 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 585 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 584 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 583 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 582 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 581 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 580 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 579 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 578 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 577 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 576 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 575 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 574 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 573 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 572 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 571 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 570 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 569 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 568 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 567 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 566 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 565 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 564 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 563 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 562 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 561 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 560 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 559 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 558 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 557 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 556 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 555 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 554 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 553 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 552 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 551 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 550 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 549 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 548 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 547 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 546 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 545 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 544 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 543 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 542 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 541 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 540 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 539 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 538 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 537 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 536 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 535 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 534 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 533 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 532 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 531 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 530 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 529 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 528 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 527 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 526 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 525 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 524 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 523 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 522 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 521 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 520 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 519 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 518 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 517 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 516 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 515 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 514 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 513 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 512 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 511 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 510 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 509 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 508 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 507 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 506 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 505 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 504 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 503 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 502 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 501 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 500 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 499 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 498 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 497 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 496 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 495 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 494 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 493 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 492 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 491 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 490 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 489 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 488 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 487 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 486 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 485 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 484 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 483 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 482 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 481 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 480 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 479 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 478 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 477 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 476 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 475 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 474 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 473 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 472 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 471 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 470 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 469 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 468 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 467 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 466 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 465 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 464 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 463 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 462 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 461 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 460 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 459 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 458 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 457 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 456 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 455 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 454 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 453 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 452 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 451 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 450 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 449 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 448 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 447 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 446 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 445 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 444 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 443 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 442 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 441 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 440 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 439 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 438 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 437 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 436 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 435 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 434 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 433 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 432 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 431 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 430 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 429 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 428 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 427 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 426 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 425 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 424 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 423 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 422 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 421 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 420 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 419 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 418 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 417 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 416 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 415 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 414 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 413 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 412 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 411 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 410 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 409 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 408 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 407 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 406 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 405 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 404 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 403 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 402 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 401 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 400 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 399 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 398 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 397 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 396 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 395 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 394 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 393 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 392 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 391 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 390 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 389 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 388 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 387 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 386 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 385 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 384 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 383 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 382 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 381 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 380 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 379 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 378 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 377 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 376 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 375 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 374 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 373 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 372 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 371 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 370 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 369 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 368 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 367 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 366 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 365 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 364 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 363 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 362 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 361 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 360 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 359 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 358 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 357 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 356 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 355 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 354 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 353 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 352 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 351 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 350 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 349 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 348 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 347 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 346 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 345 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 344 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 343 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 342 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 341 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 340 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 339 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 338 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 337 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 336 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 335 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 334 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 333 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 332 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 331 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 330 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 329 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 328 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 327 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 326 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 325 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 324 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 323 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 322 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 321 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 320 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 319 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 318 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 317 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 316 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 315 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 314 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 313 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 312 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 311 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 310 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 309 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 308 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 307 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 306 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 305 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 304 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 303 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 302 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 301 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 300 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 299 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 298 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 297 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 296 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 295 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 294 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 293 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 292 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 291 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 290 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 289 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 288 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 287 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 286 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 285 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 284 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 283 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 282 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 281 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 280 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 279 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 278 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 277 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 276 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 275 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 274 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 273 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 272 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 271 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 270 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 269 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 268 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 267 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 266 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 265 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 264 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 263 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 262 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 261 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 260 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 259 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 258 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 257 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 256 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 255 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 254 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 253 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 252 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 251 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 250 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 249 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 248 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 247 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 246 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 245 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 244 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 243 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 242 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 241 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 240 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 239 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 238 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 237 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 236 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 235 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 234 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 233 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 232 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 231 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 230 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 229 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 228 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 227 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 226 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 225 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 224 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 223 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 222 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 221 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 220 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 219 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 218 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 217 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 216 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 215 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 214 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 213 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 212 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 211 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 210 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 209 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 208 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 207 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 206 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 205 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 204 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 203 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 202 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 201 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 200 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 199 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 198 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 197 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 196 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 195 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 194 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 193 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 192 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 191 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 190 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 189 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 188 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 187 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 186 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 185 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 184 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 183 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 182 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 181 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 180 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 179 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 178 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 177 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 176 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 175 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 174 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 173 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 172 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 171 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 170 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 169 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 168 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 167 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 166 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 165 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 164 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 163 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 162 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 161 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 160 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 159 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 158 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 157 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 156 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 155 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 154 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 153 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 152 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 151 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 150 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 149 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 148 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 147 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 146 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 145 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 144 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 143 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 142 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 141 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 140 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 139 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 138 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 137 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 136 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 135 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 134 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 133 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 132 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 131 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 130 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 129 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 128 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 127 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 126 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 125 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 124 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 123 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 122 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 121 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 120 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 119 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 118 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 117 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 116 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 115 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 114 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 113 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 112 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 111 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 110 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 109 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 108 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 107 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 106 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 105 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 104 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 103 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 102 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 101 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 100 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 99 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 98 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 97 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 96 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 95 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 94 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 93 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 92 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 91 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 90 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 89 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 88 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 87 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 86 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 85 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 84 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 83 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 82 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 81 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 80 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 79 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 78 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 77 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 76 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 75 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 74 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 73 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 72 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 71 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 70 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 69 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 68 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 67 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 66 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 65 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 64 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 63 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 62 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 61 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 60 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 59 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 58 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 57 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 56 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 55 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 54 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 53 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 52 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 51 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 50 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 49 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 48 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 47 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 46 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 45 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 44 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 43 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 42 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 41 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 40 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 39 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 38 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 37 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 36 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 35 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 34 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 33 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 32 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 31 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 30 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 29 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 28 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 27 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 26 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 25 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 24 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 23 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 22 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 21 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 20 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 19 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 18 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 17 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 16 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 15 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 14 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 13 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 12 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 11 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 10 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 9 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 8 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 7 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 6 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 5 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 4 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 3 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 2 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 1 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 0 0001000000000001100110101111111111000000000000000000000000000001 ---> passed! +0 540 0001000000000001101110010000000000000000000000000000000000000001 ---> passed! +0 264 0001000000000011101011110000000000000000000000000000000000000001 ---> passed! +0 787 0001000000000001110010100000000000000000000000000000000000000001 ---> passed! 0 1023 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 44 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 45 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 172 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 173 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 330 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 331 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 362 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 363 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 428 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 429 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 778 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 779 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 810 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 811 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 842 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 843 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 874 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1022 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1021 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1020 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1019 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1018 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1017 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1016 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1015 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1014 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1013 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1012 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1011 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1010 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1009 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1008 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1007 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1006 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1005 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1004 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1003 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1002 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1001 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1000 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 999 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 998 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 997 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 996 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 995 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 994 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 993 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 992 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 991 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 990 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 989 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 988 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 987 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 986 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 985 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 984 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 983 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 982 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 981 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 980 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 979 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 978 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 977 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 976 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 975 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 974 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 973 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 972 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 971 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 970 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 969 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 968 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 967 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 966 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 965 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 964 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 963 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 962 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 961 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 960 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 959 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 958 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 957 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 956 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 955 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 954 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 953 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 952 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 951 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 950 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 949 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 948 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 947 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 946 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 945 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 944 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 943 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 942 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 941 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 940 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 939 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 938 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 937 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 936 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 935 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 934 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 933 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 932 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 931 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 930 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 929 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 928 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 927 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 926 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 925 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 924 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 923 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 922 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 921 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 920 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 919 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 918 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 917 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 916 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 915 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 914 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 913 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 912 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 911 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 910 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 909 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 908 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 907 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 906 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 905 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 904 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 903 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 902 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 901 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 900 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 899 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 898 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 897 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 896 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 895 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 894 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 893 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 892 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 891 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 890 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 889 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 888 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 887 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 886 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 885 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 884 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 883 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 882 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 881 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 880 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 879 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 878 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 877 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 876 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! 0 875 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 46 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 47 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 174 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 175 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 192 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 193 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 194 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 195 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 196 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 197 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 198 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 199 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 200 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 201 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 202 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 203 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 204 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 205 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 206 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 207 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 208 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 209 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 210 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 211 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 212 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 213 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 214 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 215 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 216 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 217 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 218 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 219 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 220 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 221 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 222 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 223 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 224 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 225 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 226 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 227 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 228 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 229 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 230 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 231 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 232 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 233 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 234 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 235 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 236 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 237 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 238 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 239 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 240 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 241 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 242 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 243 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 244 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 245 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 246 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 247 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 248 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 249 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 250 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 251 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 252 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 253 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 254 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 255 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 328 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 329 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 360 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 361 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 430 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 431 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 448 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 449 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 450 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 451 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 452 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 453 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 454 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 455 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 456 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 457 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 458 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 459 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 460 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 461 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 462 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 463 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 464 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 465 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 466 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 467 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 468 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 469 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 470 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 471 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 472 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 473 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 474 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 475 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 476 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 477 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 478 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 479 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 480 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 481 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 482 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 483 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 484 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 485 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 486 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 487 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 488 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 489 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 490 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 491 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 492 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 493 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 494 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 495 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 496 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 497 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 498 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 499 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 500 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 501 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 502 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 503 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 504 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 505 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 506 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 507 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 508 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 509 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 510 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 511 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 640 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 641 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 642 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 643 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 644 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 645 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 646 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 647 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 648 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 649 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 650 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 651 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 652 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 653 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 654 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 655 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 656 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 657 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 658 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 659 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 660 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 661 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 662 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 663 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 664 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 665 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 666 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 667 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 668 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 669 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 670 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 671 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 672 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 673 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 674 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 675 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 676 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 677 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 678 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 679 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 680 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 681 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 682 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 683 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 684 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 685 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 686 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 687 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 688 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 689 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 690 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 691 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 692 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 693 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 694 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 695 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 696 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 697 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 698 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 699 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 700 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 701 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 702 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 703 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 704 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 705 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 706 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 707 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 708 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 709 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 710 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 711 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 712 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 713 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 714 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 715 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 716 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 717 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 718 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 719 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 720 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 721 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 722 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 723 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 724 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 725 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 726 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 727 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 728 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 729 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 730 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 731 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 732 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 733 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 734 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 735 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 736 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 737 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 738 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 739 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 740 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 741 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 742 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 743 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 744 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 745 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 746 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 747 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 748 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 749 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 750 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 751 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 752 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 753 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 754 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 755 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 756 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 757 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 758 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 759 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 760 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 761 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 762 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 763 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 764 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 765 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 766 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 767 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 776 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 777 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 808 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 809 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 840 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 841 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 872 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 874 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! 0 873 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 896 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 897 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 898 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 899 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 900 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 901 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 902 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 903 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 904 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 905 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 906 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 907 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 908 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 909 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 910 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 911 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 912 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 913 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 914 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 915 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 916 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 917 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 918 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 919 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 920 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 921 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 922 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 923 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 924 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 925 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 926 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 927 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 928 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 929 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 930 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 931 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 932 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 933 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 934 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 935 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 936 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 937 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 938 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 939 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 940 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 941 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 942 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 943 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 944 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 945 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 946 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 947 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 948 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 949 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 950 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 951 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 952 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 953 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 954 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 955 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 956 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 957 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 958 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 959 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 960 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 961 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 962 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 963 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 964 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 965 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 966 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 967 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 968 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 969 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 970 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 971 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 972 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 973 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 974 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 975 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 976 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 977 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 978 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 979 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 980 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 981 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 982 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 983 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 984 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 985 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 986 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 987 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 988 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 989 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 990 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 991 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 992 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 993 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 994 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 995 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 996 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 997 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 998 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 999 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1000 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1001 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1002 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1003 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1004 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1005 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1006 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1007 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1008 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1009 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1010 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1011 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1012 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1013 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1014 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1015 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1016 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1017 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1018 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1019 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1020 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1021 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1022 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 1023 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 128 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 129 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 130 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 131 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 132 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 133 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 134 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 135 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 136 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 137 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 138 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 139 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 140 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 141 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 142 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 143 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 144 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 145 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 146 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 147 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 148 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 149 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 150 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 151 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 152 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 153 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 154 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 155 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 156 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 157 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 158 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 159 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 160 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 161 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 162 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 163 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 164 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 165 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 166 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 167 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 168 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 169 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 170 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 171 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 176 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 177 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 178 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 179 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 180 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 181 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 182 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 183 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 184 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 185 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 186 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 187 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 188 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 189 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 190 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 191 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 256 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 257 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 258 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 259 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 260 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 261 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 262 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 263 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 264 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 265 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 266 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 267 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 268 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 269 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 270 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 271 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 288 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 289 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 290 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 291 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 292 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 293 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 294 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 295 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 296 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 297 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 298 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 299 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 300 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 301 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 302 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 872 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 871 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 870 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 869 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 868 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 867 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 866 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 865 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 864 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 863 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 862 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 861 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 860 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 859 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 858 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 857 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 856 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 855 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 854 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 853 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 852 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 851 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 850 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 849 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 848 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 847 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 846 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 845 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 844 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 843 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 842 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 841 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 840 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 839 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 838 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 837 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 836 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 835 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 834 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 833 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 832 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 831 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 830 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 829 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 828 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 827 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 826 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 825 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 824 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 823 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 822 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 821 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 820 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 819 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 818 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 817 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 816 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 815 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 814 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 813 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 812 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 811 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 810 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 809 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 808 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 807 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 806 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 805 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 804 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 803 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 802 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 801 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 800 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 799 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 798 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 797 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 796 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 795 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 794 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 793 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 792 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 791 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 790 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 789 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 788 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 787 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 786 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 785 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 784 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 783 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 782 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 781 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 780 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 779 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 778 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 777 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 776 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 775 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 774 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 773 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 772 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 771 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 770 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 769 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 768 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 767 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 766 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 765 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 764 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 763 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 762 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 761 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 760 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 759 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 758 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 757 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 756 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 755 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 754 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 753 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 752 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 751 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 750 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 749 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 748 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 747 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 746 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 745 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 744 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 743 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 742 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 741 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 740 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 739 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 738 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 737 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 736 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 735 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 734 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 733 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 732 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 731 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 730 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 729 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 728 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 727 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 726 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 725 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 724 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 723 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 722 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 721 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 720 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 719 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 718 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 717 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 716 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 715 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 714 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 713 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 712 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 711 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 710 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 709 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 708 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 707 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 706 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 705 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 704 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 703 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 702 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 701 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 700 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 699 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 698 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 697 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 696 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 695 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 694 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 693 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 692 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 691 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 690 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 689 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 688 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 687 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 686 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 685 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 684 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 683 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 682 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 681 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 680 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 679 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 678 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 677 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 676 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 675 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 674 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 673 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 672 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 671 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 670 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 669 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 668 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 667 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 666 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 665 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 664 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 663 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 662 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 661 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 660 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 659 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 658 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 657 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 656 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 655 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 654 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 653 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 652 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 651 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 650 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 649 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 648 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 647 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 646 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 645 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 644 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 643 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 642 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 641 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 640 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 639 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 638 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 637 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 636 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 635 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 634 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 633 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 632 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 631 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 630 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 629 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 628 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 627 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 626 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 625 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 624 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 623 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 622 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 621 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 620 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 619 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 618 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 617 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 616 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 615 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 614 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 613 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 612 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 611 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 610 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 609 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 608 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 607 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 606 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 605 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 604 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 603 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 602 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 601 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 600 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 599 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 598 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 597 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 596 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 595 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 594 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 593 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 592 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 591 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 590 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 589 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 588 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 587 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 586 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 585 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 584 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 583 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 582 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 581 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 580 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 579 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 578 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 577 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 576 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 575 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 574 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 573 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 572 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 571 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 570 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 569 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 568 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 567 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 566 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 565 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 564 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 563 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 562 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 561 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 560 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 559 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 558 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 557 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 556 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 555 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 554 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 553 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 552 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 551 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 550 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 549 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 548 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 547 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 546 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 545 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 544 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 543 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 542 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 541 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 540 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 539 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 538 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 537 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 536 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 535 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 534 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 533 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 532 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 531 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 530 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 529 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 528 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 527 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 526 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 525 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 524 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 523 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 522 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 521 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 520 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 519 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 518 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 517 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 516 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 515 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 514 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 513 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 512 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 511 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 510 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 509 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 508 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 507 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 506 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 505 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 504 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 503 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 502 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 501 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 500 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 499 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 498 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 497 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 496 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 495 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 494 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 493 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 492 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 491 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 490 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 489 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 488 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 487 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 486 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 485 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 484 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 483 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 482 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 481 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 480 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 479 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 478 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 477 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 476 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 475 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 474 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 473 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 472 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 471 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 470 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 469 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 468 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 467 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 466 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 465 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 464 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 463 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 462 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 461 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 460 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 459 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 458 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 457 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 456 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 455 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 454 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 453 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 452 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 451 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 450 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 449 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 448 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 447 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 446 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 445 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 444 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 443 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 442 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 441 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 440 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 439 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 438 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 437 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 436 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 435 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 434 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 433 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 432 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 431 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 430 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 429 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 428 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 427 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 426 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 425 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 424 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 423 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 422 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 421 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 420 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 419 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 418 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 417 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 416 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 415 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 414 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 413 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 412 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 411 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 410 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 409 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 408 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 407 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 406 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 405 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 404 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 403 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 402 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 401 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 400 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 399 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 398 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 397 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 396 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 395 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 394 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 393 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 392 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 391 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 390 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 389 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 388 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 387 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 386 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 385 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 384 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 383 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 382 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 381 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 380 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 379 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 378 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 377 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 376 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 375 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 374 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 373 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 372 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 371 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 370 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 369 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 368 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 367 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 366 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 365 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 364 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 363 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 362 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 361 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 360 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 359 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 358 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 357 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 356 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 355 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 354 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 353 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 352 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 351 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 350 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 349 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 348 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 347 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 346 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 345 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 344 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 343 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 342 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 341 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 340 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 339 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 338 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 337 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 336 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 335 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 334 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 333 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 332 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 331 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 330 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 329 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 328 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 327 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 326 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 325 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 324 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 323 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 322 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 321 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 320 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 319 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 318 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 317 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 316 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 315 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 314 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 313 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 312 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 311 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 310 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 309 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 308 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 307 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 306 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 305 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 304 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! 0 303 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! -0 384 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 385 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 386 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 387 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 388 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 389 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 390 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 391 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 392 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 393 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 394 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 395 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 396 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 397 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 398 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 399 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 400 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 401 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 402 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 403 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 404 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 405 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 406 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 407 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 408 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 409 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 410 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 411 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 412 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 413 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 414 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 415 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 416 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 417 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 418 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 419 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 420 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 421 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 422 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 423 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 424 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 425 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 426 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 427 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 432 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 433 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 434 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 435 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 436 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 437 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 438 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 439 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 440 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 441 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 442 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 443 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 444 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 445 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 446 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 447 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 172 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 173 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 428 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 429 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! -0 174 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 175 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! -0 430 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 302 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 301 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 300 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 299 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 298 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 297 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 296 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 295 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 294 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 293 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 292 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 291 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 290 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 289 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 288 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 287 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 286 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 285 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 284 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 283 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 282 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 281 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 280 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 279 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 278 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 277 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 276 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 275 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 274 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 273 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 272 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 271 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 270 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 269 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 268 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 267 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 266 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 265 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 264 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 263 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 262 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 261 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 260 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 259 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 258 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 257 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 256 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 255 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 254 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 253 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 252 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 251 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 250 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 249 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 248 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 247 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 246 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 245 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 244 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 243 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 242 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 241 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 240 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 239 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 238 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 237 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 236 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 235 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 234 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 233 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 232 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 231 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 230 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 229 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 228 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 227 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 226 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 225 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 224 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 223 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 222 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 221 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 220 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 219 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 218 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 217 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 216 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 215 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 214 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 213 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 212 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 211 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 210 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 209 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 208 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 207 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 206 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 205 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 204 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 203 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 202 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 201 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 200 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 199 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 198 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 197 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 196 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 195 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 194 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 193 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 192 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 191 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 190 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 189 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 188 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 187 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 186 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 185 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 184 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 183 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 182 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 181 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 180 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 179 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 178 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 177 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 176 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 175 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 174 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 173 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 172 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 171 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 170 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 169 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 168 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 167 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 166 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 165 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 164 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 163 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 162 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 161 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 160 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 159 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 158 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 157 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 156 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 155 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 154 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 153 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 152 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 151 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 150 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 149 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 148 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 147 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 146 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 145 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 144 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 143 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 142 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 141 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 140 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 139 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 138 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 137 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 136 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 135 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 134 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 133 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 132 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 131 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 130 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 129 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 128 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 127 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 126 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 125 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 124 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 123 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 122 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 121 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 120 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 119 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 118 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 117 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 116 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 115 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 114 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 113 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 112 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 111 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 110 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 109 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 108 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 107 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 106 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 105 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 104 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 103 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 102 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 101 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 100 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 99 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 98 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 97 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 96 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 95 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 94 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 93 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 92 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 91 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 90 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 89 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 88 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 87 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 86 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 85 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 84 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 83 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 82 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 81 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 80 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 79 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 78 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 77 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 76 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 75 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 74 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 73 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 72 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 71 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 70 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 69 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 68 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 67 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 66 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 65 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 64 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 63 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 62 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 61 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 60 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 59 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 58 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 57 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 56 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 55 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 54 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 53 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 52 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 51 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 50 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 49 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 48 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 47 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 46 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 45 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 44 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 43 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 42 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 41 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 40 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 39 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 38 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 37 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 36 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 35 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 34 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 33 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 32 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 31 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 30 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 29 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 28 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 27 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 26 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 25 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 24 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 23 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 22 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 21 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 20 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 19 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 18 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 17 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 16 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 15 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 14 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 13 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 12 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 11 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 10 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 9 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 8 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 7 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 6 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 5 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 4 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 3 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 2 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 0 0001000000000010000000001111111111000000000000000000000000000001 ---> passed! +0 1023 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1022 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1021 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1020 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1019 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1018 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1017 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1016 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1015 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1014 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1013 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1012 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1011 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1010 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1009 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1008 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1007 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1006 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1005 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1004 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1003 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1002 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1001 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1000 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 999 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 998 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 997 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 996 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 995 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 994 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 993 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 992 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 991 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 990 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 989 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 988 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 987 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 986 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 985 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 984 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 983 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 982 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 981 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 980 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 979 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 978 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 977 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 976 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 975 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 974 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 973 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 972 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 971 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 970 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 969 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 968 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 967 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 966 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 965 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 964 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 963 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 962 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 961 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 960 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 959 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 958 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 957 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 956 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 955 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 954 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 953 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 952 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 951 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 950 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 949 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 948 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 947 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 946 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 945 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 944 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 943 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 942 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 941 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 940 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 939 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 938 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 937 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 936 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 935 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 934 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 933 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 932 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 931 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 930 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 929 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 928 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 927 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 926 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 925 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 924 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 923 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 922 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 921 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 920 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 919 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 918 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 917 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 916 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 915 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 914 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 913 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 912 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 911 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 910 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 909 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 908 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 907 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 906 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 905 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 904 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 903 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 902 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 901 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 900 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 899 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 898 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 897 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 896 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 895 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 894 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 893 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 892 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 891 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 890 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 889 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 888 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 887 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 886 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 885 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 884 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 883 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 882 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 881 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 880 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 879 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 878 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 877 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 876 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 875 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 874 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 873 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 872 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 871 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 870 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 869 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 868 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 867 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 866 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 865 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 864 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 863 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 862 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 861 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 860 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 859 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 858 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 857 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 856 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 855 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 854 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 853 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 852 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 851 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 850 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 849 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 848 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 847 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 846 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 845 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 844 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 843 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 842 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 841 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 840 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 839 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 838 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 837 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 836 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 835 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 834 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 833 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 832 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 831 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 830 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 829 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 828 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 827 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 826 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 825 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 824 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 823 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 822 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 821 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 820 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 819 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 818 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 817 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 816 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 815 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 814 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 813 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 812 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 811 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 810 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 809 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 808 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 807 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 806 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 805 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 804 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 803 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 802 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 801 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 800 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 799 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 798 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 797 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 796 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 795 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 794 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 793 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 792 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 791 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 790 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 789 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 788 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 787 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 786 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 785 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 784 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 783 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 782 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 781 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 780 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 779 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 778 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 777 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 776 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 775 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 774 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 773 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 772 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 771 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 770 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 769 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 768 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 767 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 766 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 765 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 764 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 763 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 762 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 761 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 760 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 759 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 758 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 757 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 756 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 755 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 754 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 753 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 752 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 751 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 750 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 749 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 748 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 747 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 746 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 745 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 744 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 743 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 742 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 741 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 740 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 739 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 738 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 737 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 736 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 735 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 734 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 733 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 732 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 731 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 730 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 729 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 728 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 727 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 726 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 725 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 724 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 723 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 722 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 721 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 720 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 719 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 718 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 717 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 716 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 715 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 714 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 713 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 712 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 711 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 710 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 709 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 708 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 707 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 706 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 705 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 704 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 703 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 702 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 701 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 700 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 699 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 698 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 697 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 696 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 695 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 694 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 693 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 692 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 691 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 690 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 689 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 688 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 687 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 686 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 685 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 684 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 683 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 682 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 681 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 680 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 679 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 678 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 677 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 676 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 675 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 674 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 673 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 672 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 671 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 670 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 669 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 668 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 667 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 666 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 665 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 664 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 663 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 662 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 661 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 660 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 659 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 658 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 657 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 656 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 655 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 654 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 653 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 652 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 651 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 650 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 649 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 648 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 647 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 646 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 645 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 644 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 643 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 642 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 641 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 640 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 639 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 638 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 637 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 636 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 635 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 634 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 633 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 632 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 631 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 630 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 629 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 628 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 627 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 626 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 625 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 624 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 623 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 622 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 621 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 620 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 619 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 618 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 617 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 616 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 615 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 614 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 613 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 612 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 611 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 610 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 609 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 608 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 607 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 606 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 605 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 604 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 603 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 602 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 601 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 600 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 599 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 598 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 597 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 596 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 595 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 594 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 593 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 592 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 591 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 590 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 589 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 588 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 587 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 586 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 585 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 584 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 583 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 582 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 581 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 580 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 579 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 578 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 577 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 576 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 575 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 574 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 573 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 572 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 571 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 570 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 569 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 568 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 567 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 566 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 565 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 564 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 563 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 562 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 561 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 560 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 559 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 558 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 557 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 556 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 555 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 554 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 553 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 552 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 551 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 550 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 549 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 548 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 547 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 546 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 545 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 544 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 543 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 542 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 541 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 540 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 539 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 538 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 537 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 536 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 535 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 534 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 533 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 532 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 531 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 530 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 529 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 528 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 527 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 526 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 525 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 524 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 523 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 522 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 521 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 520 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 519 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 518 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 517 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 516 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 515 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 514 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 513 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 512 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 511 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 510 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 509 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 508 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 507 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 506 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 505 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 504 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 503 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 502 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 501 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 500 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 499 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 498 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 497 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 496 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 495 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 494 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 493 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 492 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 491 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 490 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 489 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 488 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 487 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 486 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 485 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 484 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 483 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 482 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 481 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 480 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 479 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 478 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 477 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 476 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 475 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 474 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 473 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 472 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 471 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 470 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 469 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 468 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 467 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 466 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 465 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 464 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 463 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 462 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 461 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 460 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 459 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 458 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 457 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 456 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 455 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 454 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 453 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 452 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 451 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 450 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 449 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 448 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 447 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 446 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 445 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 444 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 443 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 442 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 441 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 440 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 439 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 438 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 437 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 436 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 435 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 434 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 433 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 432 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! 0 431 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 430 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 429 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 428 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 427 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 426 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 425 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 424 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 423 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 422 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 421 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 420 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 419 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 418 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 417 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 416 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 415 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 414 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 413 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 412 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 411 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 410 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 409 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 408 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 407 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 406 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 405 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 404 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 403 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 402 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 401 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 400 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 399 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 398 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 397 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 396 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 395 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 394 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 393 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 392 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 391 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 390 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 389 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 388 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 387 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 386 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 385 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 384 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 383 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 382 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 381 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 380 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 379 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 378 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 377 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 376 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 375 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 374 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 373 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 372 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 371 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 370 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 369 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 368 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 367 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 366 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 365 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 364 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 363 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 362 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 361 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 360 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 359 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 358 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 357 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 356 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 355 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 354 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 353 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 352 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 351 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 350 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 349 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 348 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 347 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 346 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 345 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 344 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 343 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 342 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 341 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 340 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 339 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 338 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 337 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 336 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 335 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 334 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 333 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 332 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 331 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 330 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 329 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 328 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 327 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 326 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 325 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 324 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 323 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 322 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 321 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 320 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 319 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 318 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 317 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 316 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 315 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 314 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 313 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 312 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 311 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 310 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 309 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 308 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 307 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 306 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 305 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 304 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 303 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 302 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 301 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 300 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 299 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 298 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 297 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 296 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 295 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 294 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 293 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 292 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 291 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 290 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 289 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 288 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 287 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 286 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 285 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 284 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 283 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 282 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 281 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 280 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 279 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 278 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 277 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 276 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 275 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 274 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 273 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 272 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 271 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 270 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 269 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 268 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 267 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 266 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 265 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 264 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 263 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 262 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 261 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 260 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 259 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 258 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 257 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 256 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 255 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 254 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 253 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 252 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 251 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 250 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 249 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 248 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 247 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 246 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 245 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 244 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 243 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 242 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 241 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 240 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 239 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 238 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 237 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 236 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 235 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 234 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 233 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 232 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 231 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 230 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 229 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 228 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 227 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 226 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 225 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 224 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 223 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 222 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 221 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 220 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 219 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 218 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 217 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 216 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 215 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 214 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 213 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 212 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 211 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 210 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 209 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 208 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 207 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 206 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 205 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 204 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 203 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 202 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 201 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 200 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 199 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 198 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 197 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 196 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 195 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 194 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 193 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 192 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 191 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 190 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 189 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 188 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 187 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 186 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 185 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 184 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 183 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 182 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 181 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 180 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 179 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 178 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 177 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 176 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 175 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 174 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 173 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 172 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 171 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 170 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 169 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 168 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 167 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 166 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 165 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 164 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 163 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 162 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 161 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 160 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 159 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 158 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 157 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 156 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 155 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 154 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 153 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 152 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 151 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 150 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 149 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 148 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 147 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 146 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 145 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 144 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 143 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 142 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 141 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 140 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 139 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 138 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 137 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 136 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 135 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 134 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 133 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 132 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 131 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 130 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 129 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 128 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 127 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 126 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 125 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 124 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 123 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 122 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 121 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 120 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 119 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 118 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 117 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 116 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 115 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 114 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 113 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 112 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 111 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 110 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 109 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 108 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 107 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 106 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 105 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 104 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 103 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 102 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 101 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 100 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 99 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 98 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 97 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 96 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 95 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 94 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 93 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 92 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 91 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 90 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 89 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 88 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 87 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 86 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 85 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 84 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 83 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 82 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 81 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 80 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 79 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 78 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 77 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 76 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 75 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 74 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 73 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 72 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 71 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 70 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 69 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 68 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 67 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 66 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 65 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 64 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 63 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 62 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 61 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 60 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 59 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 58 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 57 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 56 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 55 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 54 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 53 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 52 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 51 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 50 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 49 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 48 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 47 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 46 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 45 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 44 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 43 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 42 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 41 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 40 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 39 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 38 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 37 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 36 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 35 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 34 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 33 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 32 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 31 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 30 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 29 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 28 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 27 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 26 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 25 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 24 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 23 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 22 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 21 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 20 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 19 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 18 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 17 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 16 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 15 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 14 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 13 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 12 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 11 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 10 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 9 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 8 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 7 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 6 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 5 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 4 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 3 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 2 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 0 0001000000000000001000001111111111000000000000000000000000000001 ---> passed! +0 1023 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1022 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1021 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1020 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1019 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1018 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1017 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1016 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1015 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1014 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1013 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1012 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1011 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1010 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1009 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1008 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1007 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1006 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1005 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1004 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1003 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1002 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1001 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 1000 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 999 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 998 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 997 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 996 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 995 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 994 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 993 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 992 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 991 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 990 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 989 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 988 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 987 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 986 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 985 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 984 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 983 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 982 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 981 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 980 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 979 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 978 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 977 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 976 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 975 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 974 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 973 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 972 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 971 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 970 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 969 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 968 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 967 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 966 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 965 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 964 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 963 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 962 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 961 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 960 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 959 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 958 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 957 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 956 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 955 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 954 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 953 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 952 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 951 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 950 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 949 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 948 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 947 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 946 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 945 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 944 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 943 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 942 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 941 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 940 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 939 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 938 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 937 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 936 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 935 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 934 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 933 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 932 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 931 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 930 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 929 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 928 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 927 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 926 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 925 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 924 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 923 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 922 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 921 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 920 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 919 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 918 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 917 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 916 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 915 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 914 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 913 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 912 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 911 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 910 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 909 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 908 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 907 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 906 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 905 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 904 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 903 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 902 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 901 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 900 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 899 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 898 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 897 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 896 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 767 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 766 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 765 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 764 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 763 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 762 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 761 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 760 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 759 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 758 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 757 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 756 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 755 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 754 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 753 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 752 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 751 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 750 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 749 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 748 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 747 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 746 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 745 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 744 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 743 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 742 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 741 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 740 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 739 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 738 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 737 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 736 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 735 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 734 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 733 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 732 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 731 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 730 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 729 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 728 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 727 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 726 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 725 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 724 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 723 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 722 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 721 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 720 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 719 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 718 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 717 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 716 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 715 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 714 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 713 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 712 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 711 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 710 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 709 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 708 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 707 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 706 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 705 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 704 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 703 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 702 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 701 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 700 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 699 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 698 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 697 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 696 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 695 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 694 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 693 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 692 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 691 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 690 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 689 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 688 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 687 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 686 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 685 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 684 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 683 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 682 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 681 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 680 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 679 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 678 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 677 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 676 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 675 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 674 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 673 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 672 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 671 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 670 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 669 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 668 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 667 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 666 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 665 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 664 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 663 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 662 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 661 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 660 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 659 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 658 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 657 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 656 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 655 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 654 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 653 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 652 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 651 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 650 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 649 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 648 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 647 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 646 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 645 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 644 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 643 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 642 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 641 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 640 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 511 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 510 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 509 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 508 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 507 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 506 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 505 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 504 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 503 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 502 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 501 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 500 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 499 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 498 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 497 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 496 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 495 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 494 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 493 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 492 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 491 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 490 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 489 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 488 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 487 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 486 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 485 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 484 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 483 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 482 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 481 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 480 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 479 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 478 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 477 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 476 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 475 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 474 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 473 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 472 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 471 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 470 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 469 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 468 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 467 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 466 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 465 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 464 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 463 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 462 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 461 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 460 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 459 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 458 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 457 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 456 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 455 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 454 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 453 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 452 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 451 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 450 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 449 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 448 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 447 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 446 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 445 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 444 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 443 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 442 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 441 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 440 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 439 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 438 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 437 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 436 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 435 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 434 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 433 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 432 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 431 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 430 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 429 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 428 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 427 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 426 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 425 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 424 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 423 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 422 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 421 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 420 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 419 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 418 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 417 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 416 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 415 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 414 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 413 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 412 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 411 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 410 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 409 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 408 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 407 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 406 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 405 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 404 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 403 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 402 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 401 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 400 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 399 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 398 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 397 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 396 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 395 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 394 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 393 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 392 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 391 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 390 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 389 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 388 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 387 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 386 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 385 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 384 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 255 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 254 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 253 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 252 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 251 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 250 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 249 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 248 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 247 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 246 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 245 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 244 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 243 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 242 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 241 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 240 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 239 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 238 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 237 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 236 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 235 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 234 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 233 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 232 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 231 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 230 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 229 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 228 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 227 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 226 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 225 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 224 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 223 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 222 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 221 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 220 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 219 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 218 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 217 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 216 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 215 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 214 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 213 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 212 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 211 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 210 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 209 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 208 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 207 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 206 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 205 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 204 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 203 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 202 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 201 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 200 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 199 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 198 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 197 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 196 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 195 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 194 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 193 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 192 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 191 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 190 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 189 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 188 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 187 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 186 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 185 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 184 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 183 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 182 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 181 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 180 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 179 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 178 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 177 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 176 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 175 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 174 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 173 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 172 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 171 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 170 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 169 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 168 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 167 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 166 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 165 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 164 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 163 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 162 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 161 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 160 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 159 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 158 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 157 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 156 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 155 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 154 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 153 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 152 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 151 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 150 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 149 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 148 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 147 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 146 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 145 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 144 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 143 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 142 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 141 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 140 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 139 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 138 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 137 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 136 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 135 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 134 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 133 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 132 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 131 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 130 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 129 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 128 0001000000000010110110111011111111000000000000000000000000000001 ---> passed! +0 75 0001000000000011100101100000000000000000000000000000000000000001 ---> passed! All tests passed successfully :) diff --git a/test/scripts/validate_results/validate_results.py b/test/scripts/validate_results/validate_results.py index 7e85d95..100b19d 100644 --- a/test/scripts/validate_results/validate_results.py +++ b/test/scripts/validate_results/validate_results.py @@ -21,12 +21,12 @@ with open("simulation.log", "r") as f: all_test_passed = True test_logs = [] -for result in results: - if result in reference: - test_logs.append(result[:-1] + " ---> passed!") +for ref in reference: + if ref in results: + test_logs.append(ref[:-1] + " ---> passed!") else: all_test_passed = False - test_logs.append(result[:-1] + " ---> failed!") + test_logs.append(ref[:-1] + " ---> failed!") if all_test_passed: test_logs.append("All tests passed successfully :)")